KR20040038990A - 독립적인 플라즈마 밀도/화학 및 이온에너지 제어를 갖춘이중 주파수 플라즈마 에칭 반응기 - Google Patents
독립적인 플라즈마 밀도/화학 및 이온에너지 제어를 갖춘이중 주파수 플라즈마 에칭 반응기 Download PDFInfo
- Publication number
- KR20040038990A KR20040038990A KR10-2004-7001952A KR20047001952A KR20040038990A KR 20040038990 A KR20040038990 A KR 20040038990A KR 20047001952 A KR20047001952 A KR 20047001952A KR 20040038990 A KR20040038990 A KR 20040038990A
- Authority
- KR
- South Korea
- Prior art keywords
- electrode
- plasma
- region
- chamber
- source
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32522—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S156/00—Adhesive bonding and miscellaneous chemical manufacture
- Y10S156/916—Differential etching apparatus including chamber cleaning means or shield for preventing deposits
Abstract
Description
Claims (24)
- 챔버(Chamber)에서 가스와 전기적으로 커플링(Coupling)하며, 제1주파수를 갖는 제1 RF 플라즈마 여기원(Fisrt Plasma Excitation Source)에 접속하기 위한 제1전극과, 가공소재(Workpiece)를 나르며, 상기 챔버에서 가스와 전기적으로 커플링하고 제2주파수를 갖는 제2 RF 바이어스원(Second RF Bias Source)에 접속하기 위한 제2전극을 포함하는 가공소재를 처리하기 위한 진공 플라즈마 챔버(Vacuum Plasma Chamber)와;제1 RF원에 실질적으로 커플링되지 않고, 제2주파수에서의 전류가 상기 제2전극으로부터 상기 제1전극으로 흐르도록 하며, 상기 제1 RF원으로부터 흐르는 상대적으로 고주파인 제1주파수에서의 전류가 상기 제2전극과 제2 RF원으로 흐르는 것을 실질적으로 방지하도록 하여, 상기 제1전극과 상기 제2전극에 접속된 필터장치(Fliter Arrangement)와;상기 챔버는, 표준전위(Reference Potential)에 접속된 벽(Wall)을 포함하며, 상기 제1전극과 제2전극은 상기 플라즈마를 한정하기 위한 플라즈마 여기영역(Plasma Excitation Region)에 내재되며, 상기 영역은 적어도 상기 챔버벽으로부터 떨어져 있는 적어도 한면을 구비하여 상기 영역으로부터 상기 챔버의 다른 부분에 가스가 흐르도록 배치되는 결합.
- 챔버의 외벽으로부터 떨어져 있는 플라즈마 한정영역(Plasma ConfinementRegion)을 포함하고, 상기 영역은 상기 영역으로부터 상기 챔버의 다른 부분으로 가스가 흐르도록 배치되며,상기 영역은, 상기 챔버에서 가스와 전기적으로 커플링하며 제1주파수를 갖는 제1 RF 플라즈마 여기원에 접속하기 위한 제1전극과, 가공소재를 나르며 상기 챔버에서 가스와 전기적으로 커플링하고 제2주파수를 갖는 제2 RF 바이어스원에 접속하기 위한 제2전극과, 상기 영역에서의 압력용 제어기(Controller)를 포함하는 진공 플라즈마 챔버.
- 챔버에서 가스와 전기적으로 커플링하며 상대적으로 고주파인 제1 RF원에 접속하기 위한 제1전극과, 가공소재를 나르며 상기 챔버에서 가스와 전기적으로 커플링하고 상대적으로 저주파인 제2 RF원에 접속하기 위한 제2전극과, 표준전위에서의 외벽과, 상기 영역으로부터 상기 챔버 밖으로 가스가 배출되기 위한 출구(Exit)와, 상기 플라즈마가 상기 영역으로 한정되도록 하여 상기 영역으로부터 가스가 배출되도록 배치되며, 상기 영역으로부터 배출되는 가스가 상기 외벽으로부터 떨어져 상기 영역과 벽 사이로부터 상기 출구로 흐르도록 상기 영역이 배치되는 플라즈마 여기영역을 포함하는, 가공소재를 처리하기 위한 진공 플라즈마 챔버.
- 챔버에서 가스와 전기적으로 커플링하며 상대적으로 고주파인 제1 RF원에 접속하기 위한 제1전극과, 가공소재를 나르며 상기 챔버에서 가스와 전기적으로 커플링하고 상대적으로 저주파인 제2 RF원에 접속하기 위한 제2전극과, 표준전위에 접속된 제3전극 플라즈마 한정(Third Electrode Plasma Confining)을 포함하는, 가공소재를 처리하기 위한 진공챔버.
- 제1항의 결합 또는 제3항 또는 제4항의 챔버에 있어서,상기 필터장치는, 제1주파수에서의 전류가 상기 제1 RF원으로부터 상기 제1전극에 흐르도록 하여, 상기 제2주파수에서의 전류가 제1전극으로부터 제1 RF원으로 흐르는 것을 실질적으로 방지하기 위한 제1전극에 접속되는 제1필터와,제2주파수에서의 전류가 상기 제2 RF원으로부터 상기 제2전극에 흐르도록 하여, 상기 제2주파수에서의 전류가 제1전극으로부터 제1 RF원으로 흐르는 것을 실질적으로 방지하기 위한 제2전극에 접속되는 제2필터를 포함하는 것을 특징으로 하는 진공챔버.
- 제5항에 있어서,상기 제1필터는, 상기 반응 임피던스 부재에 분기되어 접속하여 저주파에서의 전류를 통과시키고, 실질적으로 고주파에서의 전류를 감쇠시키기 위한 통과대역을 가지는 결합.
- 제5항 또는 제6항에 있어서,상기 제2필터는, 상기 전극과 직렬로 접속되어 저주파에서의 전류를 통과시키고 실질적으로 고주파에서의 전류를 감쇠시키기 위한 통과대역을 가지는 결합.
- 제1항 내지 제7항 중 어느 한 항에 있어서,상기 고주파는 상기 가공소재를 처리하는 플라즈마의 밀도를 제어하며, 상기 저주파는 상기 플라즈마에서 이온들의 에너지를 제어하도록 하는 것을 특징으로 하는 결합.
- 제2항에 있어서,상기 제어기는 상기 영역에 있는 압력센서와, 상기 압력센서에 반응하도록 접속된 폐루프 제어기(Closed Loop Controller)와, 영역에서의 압력을 제어하기 위한 압력설정점을 포함하는 것을 특징으로 하는 진공챔버.
- 제9항에 있어서,상기 플라즈마 여기영역은, 상기 표준전위에 접속되며 상기 벽으로부터 떨어져 있는 루버(Louver)들을 포함하고, 상기 플라즈마 여기영역은, 상기 가스가 상기 다른 전극들을 통하여 상기 플라즈마 여기영역 내로 흘러 상기 플라즈마 여기영역의 외부 및 상기 루버들 사이에서 상기 챔버의 다른 부분으로 흐르도록 배치되는 것을 특징으로 하는 결합.
- 제10항에 있어서,상기 플라즈마 여기영역은, 상기 전극들과 루버들로 둘러싸인 것을 특징으로하는 결합.
- 제1항 내지 제11항 중 어느 한 항에 있어서,상기 플라즈마 여기영역은, 상기 챔버벽과 상기 가공소재를 나르기 위한 전극에 대한 중심점에 대하여 대칭인 것을 특징으로 하는 결합.
- 제2항 내지 제12항 중 어느 한 항에 있어서,상기 플라즈마 여기영역은, 상기 전극들 사이의 공간이 마음대로 변화될 수 있도록 배치되는 것을 특징으로 하는 결합.
- 제10항 내지 제13항 중 어느 한 항에 있어서,상기 플라즈마 여기영역은 상기 표준전위에서의 제1 및 제2표면들을 포함하고, 상기 제1 및 제2표면들은 각각 상기 루버들과 제1전극과 제2전극 사이에 위치하는 것을 특징으로 하는 결합.
- 제2항 내지 제14항 중 어느 한 항에 있어서,상기 여기영역의 형상은, 상기 영역에서의 플라즈마 사이와 각각의 (a) 상기 제1전극과 (b) 제2전극 및 (c) 상기 표준전위에서의 제1 및 제2표면들 사이에서 여러 시스(Sheath)들이 발생하도록 이루어지는 것을 특징으로 하는 결합.
- 제2항 내지 제15항 중 어느 한 항에 있어서,상기 여기영역의 형상은, 상기 저주파에서의 전류가 상기 제1전극으로부터 상기 표준전위에서의 여기영역의 표면들보다 상기 제1전극과 제2전극 사이에서 더 흐르는 경향을 가지도록 되는 것을 특징으로 하는 결합.
- 제2항 내지 제16항 중 어느 한 항에 있어서,상기 여기영역의 형상은, 상기 고주파에서의 전류가 상기 제2전극으로부터 상기 가공소재를 나르는 전극보다 상기 제2전극과 상기 표준전위에서의 상기 여기영역의 표면들 사이에서 더 흐르는 경향을 가지도록 되는 것을 특징으로 하는 결합.
- 제3항 내지 제17항 중 어느 한 항에 있어서,상기 여기영역과 챔버벽은 실질적으로 상기 플라즈마 한정장치(Plasma Confinement Arrangment)에 의해 서로 격리되어 있는 것을 특징으로 하는 진공챔버.
- 제18항에 있어서,상기 한정장치는 상기 여기영역의 안쪽에서부터 상기 여기영역의 밖으로 가스가 흐르고 상기 영역에서의 가스 압력에 영향을 주기 위한 장치인 것을 특징으로 하는 진공챔버.
- 제10항 또는 제11항에 있어서,상기 루버장치(Louver Arrangement)의 루버들은 고전기전도도를 가지며, 상기 표준전위에 있는 것을 특징으로 하는 진공챔버.
- 제10항 또는 제11항에 있어서,상기 루버장치의 루버들은 저전기전도도를 가지며 전기적으로 부유하여 상기 플라즈마를 기계적으로 한정하도록 배치되는 것을 특징으로 하는 진공챔버.
- 제20항 또는 제21항에 있어서,상기 인접한 한쌍의 루버들 사이의 공간은 상기 기계적인 한정을 제공하도록 구성되는 것을 특징으로 하는 진공챔버.
- 제22항에 있어서,상기 공간은 조정 가능한 것을 특징으로 하는 진공챔버.
- 제3항 또는 제4항에 있어서,상기 제1 및 제2전극과 커플링된 필터장치를 추가로 포함하고,상기 필터장치는, 상대적으로 고주파인 제1 RF원에 실질적으로 커플링되지 않고, 상기 상대적으로 낮은 제2저주파에서의 전류가 상기 전극으로부터 상기 반응임피던스 부재에 흐르도록 하여, 상대적으로 고주파인 제1 RF원으로부터 흐르는 상대적으로 높은 제1고주파에서의 전류가, 상기 전극과 상대적으로 저주파인 제2 RF원으로 흐르는 것을 실질적으로 방지하는 것을 특징으로 하는 진공챔버.
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US31105001P | 2001-08-08 | 2001-08-08 | |
US60/311,050 | 2001-08-08 | ||
US10/032,279 | 2001-12-31 | ||
US10/032,279 US6984288B2 (en) | 2001-08-08 | 2001-12-31 | Plasma processor in plasma confinement region within a vacuum chamber |
PCT/US2002/025223 WO2003015123A2 (en) | 2001-08-08 | 2002-08-08 | Dual frequency plasma etch reactor with independent plasma density/chemistry and ion energy control |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20040038990A true KR20040038990A (ko) | 2004-05-08 |
KR100936647B1 KR100936647B1 (ko) | 2010-01-14 |
Family
ID=26708215
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020047001952A KR100936647B1 (ko) | 2001-08-08 | 2002-08-08 | 독립적인 플라즈마 밀도/화학 및 이온에너지 제어를 갖춘이중 주파수 플라즈마 에칭 반응기 |
Country Status (8)
Country | Link |
---|---|
US (1) | US6984288B2 (ko) |
EP (1) | EP1415322B1 (ko) |
KR (1) | KR100936647B1 (ko) |
CN (1) | CN100401451C (ko) |
AT (1) | ATE368936T1 (ko) |
DE (1) | DE60221535T2 (ko) |
TW (1) | TWI272041B (ko) |
WO (1) | WO2003015123A2 (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100906701B1 (ko) * | 2007-12-20 | 2009-07-07 | 주식회사 디엠에스 | 기판에 식각 영역을 만들기 위한 장치 |
Families Citing this family (198)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP1127957A1 (en) * | 2000-02-24 | 2001-08-29 | Asm Japan K.K. | A film forming apparatus having cleaning function |
US7223676B2 (en) * | 2002-06-05 | 2007-05-29 | Applied Materials, Inc. | Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer |
US6939434B2 (en) * | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
US7294563B2 (en) * | 2000-08-10 | 2007-11-13 | Applied Materials, Inc. | Semiconductor on insulator vertical transistor fabrication and doping process |
US20070042580A1 (en) * | 2000-08-10 | 2007-02-22 | Amir Al-Bayati | Ion implanted insulator material with reduced dielectric constant |
US7166524B2 (en) * | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
US7320734B2 (en) * | 2000-08-11 | 2008-01-22 | Applied Materials, Inc. | Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage |
US7037813B2 (en) * | 2000-08-11 | 2006-05-02 | Applied Materials, Inc. | Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage |
US7183177B2 (en) * | 2000-08-11 | 2007-02-27 | Applied Materials, Inc. | Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement |
US7288491B2 (en) * | 2000-08-11 | 2007-10-30 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7303982B2 (en) * | 2000-08-11 | 2007-12-04 | Applied Materials, Inc. | Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage |
US7137354B2 (en) * | 2000-08-11 | 2006-11-21 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage |
US7094670B2 (en) * | 2000-08-11 | 2006-08-22 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US20050230047A1 (en) * | 2000-08-11 | 2005-10-20 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus |
US7465478B2 (en) * | 2000-08-11 | 2008-12-16 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US20030092278A1 (en) * | 2001-11-13 | 2003-05-15 | Fink Steven T. | Plasma baffle assembly |
JP4121269B2 (ja) * | 2001-11-27 | 2008-07-23 | 日本エー・エス・エム株式会社 | セルフクリーニングを実行するプラズマcvd装置及び方法 |
US6936135B2 (en) * | 2002-04-17 | 2005-08-30 | Lam Research Corporation | Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber |
US6926803B2 (en) * | 2002-04-17 | 2005-08-09 | Lam Research Corporation | Confinement ring support assembly |
US6841943B2 (en) * | 2002-06-27 | 2005-01-11 | Lam Research Corp. | Plasma processor with electrode simultaneously responsive to plural frequencies |
US20040118344A1 (en) * | 2002-12-20 | 2004-06-24 | Lam Research Corporation | System and method for controlling plasma with an adjustable coupling to ground circuit |
US7009281B2 (en) * | 2003-03-14 | 2006-03-07 | Lam Corporation | Small volume process chamber with hot inner surfaces |
US7217649B2 (en) * | 2003-03-14 | 2007-05-15 | Lam Research Corporation | System and method for stress free conductor removal |
US7232766B2 (en) * | 2003-03-14 | 2007-06-19 | Lam Research Corporation | System and method for surface reduction, passivation, corrosion prevention and activation of copper surface |
US7078344B2 (en) * | 2003-03-14 | 2006-07-18 | Lam Research Corporation | Stress free etch processing in combination with a dynamic liquid meniscus |
US7296534B2 (en) * | 2003-04-30 | 2007-11-20 | Tokyo Electron Limited | Hybrid ball-lock attachment apparatus |
US7405521B2 (en) | 2003-08-22 | 2008-07-29 | Lam Research Corporation | Multiple frequency plasma processor method and apparatus |
US7326872B2 (en) | 2004-04-28 | 2008-02-05 | Applied Materials, Inc. | Multi-frequency dynamic dummy load and method for testing plasma reactor multi-frequency impedance match networks |
US7708859B2 (en) * | 2004-04-30 | 2010-05-04 | Lam Research Corporation | Gas distribution system having fast gas switching capabilities |
US20070066038A1 (en) * | 2004-04-30 | 2007-03-22 | Lam Research Corporation | Fast gas switching plasma processing apparatus |
US20050263070A1 (en) * | 2004-05-25 | 2005-12-01 | Tokyo Electron Limited | Pressure control and plasma confinement in a plasma processing chamber |
KR100532354B1 (ko) * | 2004-05-31 | 2005-11-30 | 삼성전자주식회사 | 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법 |
US7988816B2 (en) | 2004-06-21 | 2011-08-02 | Tokyo Electron Limited | Plasma processing apparatus and method |
US7951262B2 (en) * | 2004-06-21 | 2011-05-31 | Tokyo Electron Limited | Plasma processing apparatus and method |
CN102256431B (zh) * | 2004-06-21 | 2014-09-17 | 东京毅力科创株式会社 | 等离子体处理装置和方法 |
KR20060005560A (ko) * | 2004-07-13 | 2006-01-18 | 삼성전자주식회사 | 플라즈마를 이용하는 반도체 소자 제조 장비 |
US20060043067A1 (en) * | 2004-08-26 | 2006-03-02 | Lam Research Corporation | Yttria insulator ring for use inside a plasma chamber |
KR100790392B1 (ko) | 2004-11-12 | 2008-01-02 | 삼성전자주식회사 | 반도체 제조장치 |
US7632375B2 (en) * | 2004-12-30 | 2009-12-15 | Lam Research Corporation | Electrically enhancing the confinement of plasma |
US7364623B2 (en) * | 2005-01-27 | 2008-04-29 | Lam Research Corporation | Confinement ring drive |
WO2006096716A2 (en) * | 2005-03-07 | 2006-09-14 | Mounir Laroussi | Plasma generator |
US7430986B2 (en) * | 2005-03-18 | 2008-10-07 | Lam Research Corporation | Plasma confinement ring assemblies having reduced polymer deposition characteristics |
US7342361B2 (en) | 2005-05-11 | 2008-03-11 | Dublin City University | Plasma source |
IES20050301A2 (en) | 2005-05-11 | 2006-11-15 | Univ Dublin City | Plasma source |
US20060278339A1 (en) * | 2005-06-13 | 2006-12-14 | Lam Research Corporation, A Delaware Corporation | Etch rate uniformity using the independent movement of electrode pieces |
US7713379B2 (en) | 2005-06-20 | 2010-05-11 | Lam Research Corporation | Plasma confinement rings including RF absorbing material for reducing polymer deposition |
US7780814B2 (en) * | 2005-07-08 | 2010-08-24 | Applied Materials, Inc. | Wafer pre-clean reactor cable termination for selective suppression/reflection of source and bias frequency cross products |
US20070021935A1 (en) * | 2005-07-12 | 2007-01-25 | Larson Dean J | Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber |
KR101218114B1 (ko) * | 2005-08-04 | 2013-01-18 | 주성엔지니어링(주) | 플라즈마 식각 장치 |
US8088248B2 (en) | 2006-01-11 | 2012-01-03 | Lam Research Corporation | Gas switching section including valves having different flow coefficients for gas distribution system |
US20070221332A1 (en) * | 2006-03-22 | 2007-09-27 | Tokyo Electron Limited | Plasma processing apparatus |
US7517437B2 (en) * | 2006-03-29 | 2009-04-14 | Applied Materials, Inc. | RF powered target for increasing deposition uniformity in sputtering systems |
US8192576B2 (en) * | 2006-09-20 | 2012-06-05 | Lam Research Corporation | Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing |
US7758718B1 (en) | 2006-12-29 | 2010-07-20 | Lam Research Corporation | Reduced electric field arrangement for managing plasma confinement |
US20080162587A1 (en) * | 2006-12-29 | 2008-07-03 | Ulrich Auer | Server synchronization for maintenance activities |
US7943007B2 (en) * | 2007-01-26 | 2011-05-17 | Lam Research Corporation | Configurable bevel etcher |
US8398778B2 (en) | 2007-01-26 | 2013-03-19 | Lam Research Corporation | Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter |
US8450635B2 (en) * | 2007-03-30 | 2013-05-28 | Lam Research Corporation | Method and apparatus for inducing DC voltage on wafer-facing electrode |
US7824519B2 (en) * | 2007-05-18 | 2010-11-02 | Lam Research Corporation | Variable volume plasma processing chamber and associated methods |
US8216418B2 (en) * | 2007-06-13 | 2012-07-10 | Lam Research Corporation | Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings |
US8202393B2 (en) * | 2007-08-29 | 2012-06-19 | Lam Research Corporation | Alternate gas delivery and evacuation system for plasma processing apparatuses |
WO2009042137A2 (en) | 2007-09-25 | 2009-04-02 | Lam Research Corporation | Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses |
US8187414B2 (en) | 2007-10-12 | 2012-05-29 | Lam Research Corporation | Anchoring inserts, electrode assemblies, and plasma processing chambers |
CN101419904B (zh) * | 2007-10-22 | 2012-04-25 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体约束装置及等离子体处理装置 |
CN101441983B (zh) * | 2007-11-21 | 2011-01-12 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体约束装置及应用该约束装置的半导体处理设备 |
US8043470B2 (en) * | 2007-11-21 | 2011-10-25 | Lam Research Corporation | Electrode/probe assemblies and plasma processing chambers incorporating the same |
US7999239B2 (en) * | 2007-12-10 | 2011-08-16 | Varian Semiconductor Equipment Associates, Inc. | Techniques for reducing an electrical stress in an acceleration/deceleraion system |
US8187413B2 (en) * | 2008-03-18 | 2012-05-29 | Lam Research Corporation | Electrode assembly and plasma processing chamber utilizing thermally conductive gasket |
JP5264231B2 (ja) * | 2008-03-21 | 2013-08-14 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP4792060B2 (ja) * | 2008-05-22 | 2011-10-12 | キヤノンアネルバ株式会社 | マグネトロンスパッタリング装置及び薄膜の製造法 |
US9887069B2 (en) * | 2008-12-19 | 2018-02-06 | Lam Research Corporation | Controlling ion energy distribution in plasma processing systems |
US8869741B2 (en) * | 2008-12-19 | 2014-10-28 | Lam Research Corporation | Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber |
US8540844B2 (en) * | 2008-12-19 | 2013-09-24 | Lam Research Corporation | Plasma confinement structures in plasma processing systems |
US8313612B2 (en) | 2009-03-24 | 2012-11-20 | Lam Research Corporation | Method and apparatus for reduction of voltage potential spike during dechucking |
US8272346B2 (en) * | 2009-04-10 | 2012-09-25 | Lam Research Corporation | Gasket with positioning feature for clamped monolithic showerhead electrode |
US8249900B2 (en) * | 2010-02-10 | 2012-08-21 | Morgan Stanley & Co. Llc | System and method for termination of pension plan through mutual annuitization |
US8518094B2 (en) * | 2010-03-02 | 2013-08-27 | Bwt Property, Inc. | Precisely guided phototherapy apparatus |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
JP5835985B2 (ja) * | 2010-09-16 | 2015-12-24 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
CN102469675A (zh) * | 2010-11-05 | 2012-05-23 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 功率匹配装置和半导体设备 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
CN103348776B (zh) * | 2011-02-15 | 2017-06-09 | 应用材料公司 | 多区等离子体生成的方法和设备 |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US10225919B2 (en) * | 2011-06-30 | 2019-03-05 | Aes Global Holdings, Pte. Ltd | Projected plasma source |
US9083182B2 (en) | 2011-11-21 | 2015-07-14 | Lam Research Corporation | Bypass capacitors for high voltage bias power in the mid frequency RF range |
US10586686B2 (en) | 2011-11-22 | 2020-03-10 | Law Research Corporation | Peripheral RF feed and symmetric RF return for symmetric RF delivery |
US9263240B2 (en) | 2011-11-22 | 2016-02-16 | Lam Research Corporation | Dual zone temperature control of upper electrodes |
US9396908B2 (en) | 2011-11-22 | 2016-07-19 | Lam Research Corporation | Systems and methods for controlling a plasma edge region |
KR101971312B1 (ko) * | 2011-11-23 | 2019-04-22 | 램 리써치 코포레이션 | 다중 존 가스 주입 상부 전극 시스템 |
WO2013078434A1 (en) | 2011-11-24 | 2013-05-30 | Lam Research Corporation | Plasma processing chamber with flexible symmetric rf return strap |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) * | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
CN104289382B (zh) * | 2014-07-10 | 2019-04-05 | 华东师范大学 | 利用旋吸法在微通道板侧壁沉积薄膜的方法及其专用装置 |
JP6499835B2 (ja) * | 2014-07-24 | 2019-04-10 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
CN105228330B (zh) * | 2015-09-01 | 2018-09-14 | 沈阳拓荆科技有限公司 | 一种射频等离子体设备匹配器 |
US20170278679A1 (en) * | 2016-03-24 | 2017-09-28 | Lam Research Corporation | Method and apparatus for controlling process within wafer uniformity |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR102256216B1 (ko) * | 2019-06-27 | 2021-05-26 | 세메스 주식회사 | 플라즈마 처리 장치 및 플라즈마 제어 방법 |
CN112863983B (zh) * | 2019-11-28 | 2023-09-29 | 中微半导体设备(上海)股份有限公司 | 用于等离子体处理设备的下电极组件和等离子体处理设备 |
Family Cites Families (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4464223A (en) | 1983-10-03 | 1984-08-07 | Tegal Corp. | Plasma reactor apparatus and method |
US4579618A (en) | 1984-01-06 | 1986-04-01 | Tegal Corporation | Plasma reactor apparatus |
US4612207A (en) * | 1985-01-14 | 1986-09-16 | Xerox Corporation | Apparatus and process for the fabrication of large area thin film multilayers |
DE4039930A1 (de) | 1990-12-14 | 1992-06-17 | Leybold Ag | Vorrichtung fuer plasmabehandlung |
US5286297A (en) | 1992-06-24 | 1994-02-15 | Texas Instruments Incorporated | Multi-electrode plasma processing apparatus |
JP3351843B2 (ja) | 1993-02-24 | 2002-12-03 | 忠弘 大見 | 成膜方法 |
JP3162245B2 (ja) * | 1994-04-20 | 2001-04-25 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US5900103A (en) * | 1994-04-20 | 1999-05-04 | Tokyo Electron Limited | Plasma treatment method and apparatus |
US5534751A (en) | 1995-07-10 | 1996-07-09 | Lam Research Corporation | Plasma etching apparatus utilizing plasma confinement |
JP2000514600A (ja) * | 1996-07-03 | 2000-10-31 | ティーガル コーポレイション | 半導体ウェーハーをエッチングするための方法及びその装置 |
US6500314B1 (en) * | 1996-07-03 | 2002-12-31 | Tegal Corporation | Plasma etch reactor and method |
US6008130A (en) * | 1997-08-14 | 1999-12-28 | Vlsi Technology, Inc. | Polymer adhesive plasma confinement ring |
US6098568A (en) | 1997-12-01 | 2000-08-08 | Applied Materials, Inc. | Mixed frequency CVD apparatus |
JP3565311B2 (ja) * | 1997-12-17 | 2004-09-15 | アルプス電気株式会社 | プラズマ処理装置 |
US6106663A (en) | 1998-06-19 | 2000-08-22 | Lam Research Corporation | Semiconductor process chamber electrode |
US6019060A (en) * | 1998-06-24 | 2000-02-01 | Lam Research Corporation | Cam-based arrangement for positioning confinement rings in a plasma processing chamber |
US5998932A (en) * | 1998-06-26 | 1999-12-07 | Lam Research Corporation | Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber |
US6178919B1 (en) * | 1998-12-28 | 2001-01-30 | Lam Research Corporation | Perforated plasma confinement ring in plasma reactors |
US6602381B1 (en) * | 2001-04-30 | 2003-08-05 | Lam Research Corporation | Plasma confinement by use of preferred RF return path |
US6527911B1 (en) * | 2001-06-29 | 2003-03-04 | Lam Research Corporation | Configurable plasma volume etch chamber |
-
2001
- 2001-12-31 US US10/032,279 patent/US6984288B2/en not_active Expired - Lifetime
-
2002
- 2002-07-31 TW TW091117212A patent/TWI272041B/zh not_active IP Right Cessation
- 2002-08-08 KR KR1020047001952A patent/KR100936647B1/ko not_active IP Right Cessation
- 2002-08-08 DE DE60221535T patent/DE60221535T2/de not_active Expired - Lifetime
- 2002-08-08 EP EP02768458A patent/EP1415322B1/en not_active Expired - Lifetime
- 2002-08-08 WO PCT/US2002/025223 patent/WO2003015123A2/en active IP Right Grant
- 2002-08-08 AT AT02768458T patent/ATE368936T1/de not_active IP Right Cessation
- 2002-08-08 CN CNB028155297A patent/CN100401451C/zh not_active Expired - Fee Related
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100906701B1 (ko) * | 2007-12-20 | 2009-07-07 | 주식회사 디엠에스 | 기판에 식각 영역을 만들기 위한 장치 |
Also Published As
Publication number | Publication date |
---|---|
WO2003015123B1 (en) | 2003-11-20 |
US6984288B2 (en) | 2006-01-10 |
CN1539156A (zh) | 2004-10-20 |
ATE368936T1 (de) | 2007-08-15 |
KR100936647B1 (ko) | 2010-01-14 |
TWI272041B (en) | 2007-01-21 |
US20030029567A1 (en) | 2003-02-13 |
WO2003015123A3 (en) | 2003-09-25 |
DE60221535D1 (de) | 2007-09-13 |
WO2003015123A2 (en) | 2003-02-20 |
CN100401451C (zh) | 2008-07-09 |
EP1415322B1 (en) | 2007-08-01 |
DE60221535T2 (de) | 2008-04-17 |
EP1415322A2 (en) | 2004-05-06 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100936647B1 (ko) | 독립적인 플라즈마 밀도/화학 및 이온에너지 제어를 갖춘이중 주파수 플라즈마 에칭 반응기 | |
US9190302B2 (en) | System and method for controlling plasma with an adjustable coupling to ground circuit | |
US7658816B2 (en) | Focus ring and plasma processing apparatus | |
KR101209536B1 (ko) | 플라즈마의 한정을 전기적으로 강화시키는 방법 | |
KR100455091B1 (ko) | 플라즈마처리장치 | |
EP1289003B1 (en) | Plasma processing apparatus | |
US7169256B2 (en) | Plasma processor with electrode responsive to multiple RF frequencies | |
KR100576399B1 (ko) | 포커스 링 및 플라즈마 처리 장치 | |
US8513563B2 (en) | Plasma processing apparatus and plasma processing method | |
WO2003054911A2 (en) | Plasma process apparatus | |
KR20040045913A (ko) | 플라즈마에 튜닝되는 샤워헤드 rf 전극을 갖는 아킹 억제된 merie 플라즈마 반응기 | |
US20230044703A1 (en) | Plasma processing equipment | |
US8181597B2 (en) | Plasma generating apparatus having antenna with impedance controller | |
JP2000331996A (ja) | プラズマ処理装置 | |
TW202004831A (zh) | 電漿處理裝置 | |
CN113394069A (zh) | 等离子体处理装置 | |
KR100627785B1 (ko) | 유도 결합 플라즈마 처리 장치 | |
TWI784401B (zh) | 電漿處理裝置及電漿處理方法 | |
CN115398602A (zh) | 等离子处理装置以及等离子处理方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E90F | Notification of reason for final refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20121226 Year of fee payment: 4 |
|
FPAY | Annual fee payment |
Payment date: 20131224 Year of fee payment: 5 |
|
FPAY | Annual fee payment |
Payment date: 20141222 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20151223 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20161227 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20171227 Year of fee payment: 9 |
|
LAPS | Lapse due to unpaid annual fee |