KR20040038990A - 독립적인 플라즈마 밀도/화학 및 이온에너지 제어를 갖춘이중 주파수 플라즈마 에칭 반응기 - Google Patents

독립적인 플라즈마 밀도/화학 및 이온에너지 제어를 갖춘이중 주파수 플라즈마 에칭 반응기 Download PDF

Info

Publication number
KR20040038990A
KR20040038990A KR10-2004-7001952A KR20047001952A KR20040038990A KR 20040038990 A KR20040038990 A KR 20040038990A KR 20047001952 A KR20047001952 A KR 20047001952A KR 20040038990 A KR20040038990 A KR 20040038990A
Authority
KR
South Korea
Prior art keywords
electrode
plasma
region
chamber
source
Prior art date
Application number
KR10-2004-7001952A
Other languages
English (en)
Other versions
KR100936647B1 (ko
Inventor
라진더 딘드사
무컨드 스린니바산
에릭 렌즈
루민 리
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20040038990A publication Critical patent/KR20040038990A/ko
Application granted granted Critical
Publication of KR100936647B1 publication Critical patent/KR100936647B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Abstract

본 발명의 가공소재를 처리하기 위한 진공 플라즈마 챔버는, 챔버에서 가스와 전기적으로 커플링하며 각각 상대적으로 고주파 및 저주파인 제1 및 제2 RF공급원에 접속하기 위한 제1 및 제2전극을 포함한다. 상기 챔버는 표준전위에서의 벽과 상기 벽으로부터 떨어져 있는 플라즈마 한정영역을 포함한다. 상기 공급원과 상기 전극들에 접속된 필터장치는, 제1 공급원으로부터 제1전극으로의 전류를 흐르게 하고, 상기 제1 공급원으로부터 제2전극과 제2 공급원으로의 전류 흐름을 실질적으로 방지하며, 제2 공급원으로부터의 전류를 상기 제1 및 제2전극들에 흐르게 하고, 상기 제2 공급원으로부터 제1 공급원으로의 전류의 실질적인 흐름을 방지한다.

Description

독립적인 플라즈마 밀도/화학 및 이온에너지 제어를 갖춘 이중 주파수 플라즈마 에칭 반응기{Dual Frequency Plasma Etch Reactor with Independent Plasma Density/Chemistry and Ion Energy Control}
[3] 진공 플라즈마 처리기들은, 일반적으로 반도체, 유전기판 및 금속기판과 같은 가공소재(Workpiece) 위에 증착하거나 재료를 에칭하는데 사용된다. 가스는 상기 가공소재가 위치된 진공 플라즈마 처리 챔버 내로 도입된다. 챔버 압력은 일반적으로 0.1 내지 1000Torr이다. 상기 가스는 작열하여 RF 전기장 또는 전자기장에 반응해서 RF 플라즈마로 된다.
RF장(RF Field)은, 자기 및 정전기 RF장이 가스와 연결되는 보통 전극열(Electrode Array)이나 코일과 같은 반응 임피던스 부재에 의해 제공된다. 상기 반응 임피던스 부재는, 상기 가스가 플라즈마로 작열하도록 비교적 높은 제1 RF 주파수와 충분한 전력을 가지는 제1 RF원에 접속된다. 상기 제1 RF원과 반응 임피던스 부재는 보통 비교적 긴 케이블을 경유하여 직접 제1 RF원에 접속된다. 상기 케이블과 반응 임피던스 부재 사이에 접속된 제1 공진 매칭네크워크(First Resonant Matching Network)는, 보통 제1 RF원의 임피던스가 구동되는 부하(Load)에 매칭되도록 조정된 한쌍의 가변 리액턴스(a Pair of Variable Reactances)를 포함한다.
[4] 전형적으로, 상기 가스는 상기 챔버의 상부를 통하여 챔버 내로 도입되어 상기 챔버의 하부로 배출된다. 상기 챔버의 상부에서의 전극이, 상기 여기영역 내로 흐르는 가스에 대한 샤워헤드 효과(Shower Head Effect)를 제공하기 위하여상기 여기영역 내로 일련의 배플(Series of Baffles)과 개구부(Openings)에 연결되는 것이 일반적이다.
[5] 상기 가공소재는 보통 상기 챔버 내의 플라즈마 여기영역의 하부에 있는 전극 위에 실장된다. 일부 챔버에서, 상기 가공소재를 나르는 전극은 제1 RF 주파수를 공급하는 반응 임피던스 부재이며, 상기 가공소재를 나르는 전극과 떨어져 있는 다른 전극은 표준전위, 일반적으로 접지(Ground)에 접속된다. 상술한 계류 중의 가출원의 도 4에 예시된 바와 같이, 외부벽을 가진 챔버의 내부의 플라즈마 한정영역(Plasma Confinement Region)을 가지는 그러한 챔버를 제공하는 것은 알려져 있다.
[6] 다른 챔버에서는, 상기 반응 임피던스 부재가 상기 여기영역의 하부에서 가공소재를 나르는 전극과 일정 거리를 두고 떨어져 있다. 이들 일부 다른 챔버에서, 계류 중인 상술한 가출원의 도 1에 예시된 바와 같이, 반응 임피던스 부재는 상기 여기영역의 상부에 인접한 코일이며, 상기 가공소재를 나르는 전극은 제1 RF 주파수보다 상당히 낮은 제2 RF 주파수를 가지는 제2 RF원에 주로 접속된다. DC 전압을 상기 전극에 설정하도록 하기 때문에 주로 DC 바이어스원(DC Bias Source)이라 불리는 제2 RF원은, 보통 제2 공진 매칭네트워크에 의해 상기 전극에 접속되며, 또한 보통 상기 제2 RF원의 임피던스를 구동하는 부하와 매칭하도록 조정된 한쌍의 가변 리액턴스를 포함한다. 만일 상기 반응 임피던스 부재가 코일이라면, 제2 RF원은 전형적으로 2MHz 역의 주파수를 가진다. 이들 다른 챔버들에서, 상기 코일은 상기 챔버 외측에 위치되고, 상기 코일 내에서 발생된 전자기장은 상기 챔버의 상부에 있는 유전창(Dielectric Window)을 통하여 상기 챔버의 여기영역 내에서 가스와 커플링한다.
[7] 상대적으로 고주파인 제1 주파수는 10MHz를 초과하는데, 상기 플라즈마의 밀도를 제어하는 반면, 상대적으로 저주파인 제2 주파수는 10MHz보다 낮은데, 상기 플라즈마 내의 이온들의 에너지를 제어한다. 플라즈마 밀도와 이온에너지의 독립된 제어는, 제1과 제2 RF원의 전력, 전압 및/또는 전류와 같은 전기적인 매개변수(Electric Parameters)들을 별개로 제어함으로써 제공된다. 이들 다른 진공 챔버들은 보통 표준전위, 예컨대 접지에서 유지된 금속벽(Metal Wall)을 포함한다.
[8] 또 다른 챔버에서는, 상기 여기영역의 상부에 있는 전극이 표준전위에 접속되어 있는데, 상대적으로 고주파인 제1 RF 주파수가 상기 금속벽에 공급되고, 상기 금속벽들은 반응 임피던스 부재와 같이 작용하며, 반면 상대적으로 저주파인 제2 RF 주파수는 상기 가공소재를 나르는 전극에 공급된다. 상기 진공챔버가 금속벽을 포함할 때, 상기 금속벽은 보통 플라즈마 여기영역의 외부를 형성한다.
[9] 또 다른 구성에서는, 상기 챔버벽은 절연체이며, 상기 플라즈마 여기영역의 외부는 상기 챔버벽으로부터 떨어져 있는 플라즈마 한정 루버(Plasma Confining Louvers)들에 의해 형성되며, 접지와 같은 표준전위에서 유지된다. 상기 여기영역 내에서 플라즈마로 여기되는 가스는, 상기 루버를 통하여 상기 챔버의 출측 포트(Outlet Port)로 흐른다. 이들 다른 구성에서는, 상기 여기영역의 상부에서의 전극은 표준전위에 접속된다.
[10] 전술한 구조들은 종래의 가공소재를 처리하는데에는 적절하지만, 필름의 에칭이나 증착을 위한 많은 증가된 요구들에 대처하는 가공소재, 특히 반도체 웨이퍼의 미세한 서브마이크론(Deep Submicron) 처리를 다루는데에는 적당하지 않다고 확신한다. 이들 증가된 요구들을 대처하는 플라즈마 처리기에 대한 주요한 설계 매개변수들은, 상기 플라즈마에서 중성물질(Neutral Species)에 대한 이온과 레디컬(Radical)들의 정확한 비율, 상기 가공소재 위의 여기영역에서의 이온, 레디컬과 중성자의 잔류시간 및 상기 기판 위의 이온에너지의 제어들이다. 이들 매개변수들은 많은 형태의 에칭과 증착공정들에 대한 정확한 공정을 개발하기 위하여 폭넓은 공정제어를 제공한다. 또한, 큰 면적을 가지는 가공소재의 경우, 각 가공소재의 처리비용은 처리기의 설계에서 중요한 변수가 된다. 상기 챔버 내부를 청소하는 평균시간, 소요비용, 상기 처리기의 서비스 능력 및 다른 수많은 요소들은 상기 처리기에서 가공소재의 처리비용에 영향을 준다.
[1] 본 출원은 "독립적인 플라즈마 밀도/화학 및 이온에너지 제어를 갖춘 이중 주파수 플라즈마 에칭 반응기(Etch Reactor)"라는 명칭으로, 2001.8.8 출원되어 일반적으로 지정된 가출원 일련번호 60/311,050호로 계류 중인 일부계속출원에 관한 것이다.
[2] 본 발명은 진공 플라즈마 처리기(Vaccum Plasma Processor)에 관한 것으로, 보다 상세하게는 고주파와 저주파 여기원(Excitation Source) 및 표준전위(Reference Potential)에 각각 연결된 제1, 제2, 제3전극을 포함한 플라즈마 여기영역(Plasma Excitation Region)을 포함하고, 상기 여기영역이 상기 표준전위에서 하나의 벽(Wall)을 구비한 진공챔버(Vacuum Chamber) 내로 제한된 플라즈마 처리기에 관한 것이다. 다른 관점에 따르면, 본 발명은 고주파와 저주파 RF로 진공 플라즈마 처리기에서 플라즈마를 각각 여기하기 위한 반응 임피던스 부재(Reactive Impedance Element)와 전극을 포함하고, 고주파와 저주파를 위한 공급회로에서 필터장치(Filter Arrangement)는 저주파에서 전류가 상기 전극과 반응 임피던스 부재 사이의 플라즈마를 통해 흐르도록 하며, 실질적으로 고주파에서의 전류가 상기 반응 임피던스 부재로부터 상기 전극으로 흐르는 것을 차단하는 진공 플라즈마 처리기에 관한 것이다.
[20] 도 1은, 본 발명의 바람직한 일실시예에 따른 플라즈마 처리기에 대한 개략도이다;
[21] 도 2는, 도 1의 처리기에 예시된 진공 플라즈마 처리기의 바람직한 일실시예에 대한 단면도이며; 그리고
[22] 도 3은, 도 1에 예시된 상기 플라즈마 처리기의 전기적인 개략도이다.
[11] 본 발명의 한 형태는, 챔버가 상대적으로 고주파인 제1 RF 플라즈마 여기원에 접속된 반응 임피던스 부재를 포함하며, 가공소재를 나르기 위한 전극이 상대적으로 저주파인 제2 RF 바이어스원(Bias Source)에 접속되는, 상기 가공소재를 처리하기 위한 진공 플라즈마 챔버에 관한 것이다. 상기 반응 임피던스 부재와 전극에 접속된 필터장치는, 저주파에서의 전류가 상기 고주파원에 실질적으로 커플링되지 않고 상기 전극으로부터 상기 반응 임피던스 부재로 흐르고 상기 고주파에서의 전류가 상기 전극 쪽과 상기 저주파 RF원에 실질적으로 흐르는 것을 방지하도록 한다.
[12] 하나의 바람직한 실시예에서, 상기 필터장치는 제1 및 제2필터들을 포함한다. 상기 제1필터는 상기 반응 임피던스 부재에 접속되며, 상기 고주파에서의 전류가 상기 고주파원으로부터 상기 반응 임피던스 부재로 흐르게 하고, 실질적으로 저주파에서의 전류가 상기 반응 임피던스 부재로부터 상기 고주파원 쪽으로 흐르는 것을 방지한다. 상기 제2필터는 상기 전극에 접속되며, 상기 저주파에서의 전류가 상기 저주파원으로부터 상기 전극으로 흐르게 하고, 실질적으로 상기 고주파에서의 전류가 상기 전극 쪽과 상기 저주파 RF원 쪽으로 흐르는 것을 방지한다.
[13] 바람직하게 상기 제1필터는, 상기 반응 임피던스 부재과 분기(分岐)되어 접속된 대역필터(Bandpass Filter)를 포함한다. 상기 분기된 필터(Shunt Filter)는 저주파에서의 전류를 통과시키고, 실질적으로 고주파에서의 전류를 감쇠시키기 위한 통과대역(Pass Band)을 가진다. 바람직하게 상기 제2필터는, 상기 전극과 직렬 접속된 대역필터를 포함한다. 상기 직렬필터(Series Filter)는 저주파에서의 전류를 통과시키고 실질적으로 고주파에서의 전류를 감쇠시키기 위한 통과대역을 가진다.
[14] 다른 견지에 따르면, 본 발명은 상기 챔버가, 상대적으로 고주파와 저주파인 RF원에 각각 접속된 제1 및 제2전극, 표준전위에서의 벽과, 플라즈마를 한정하며 상기 벽과 일정 거리를 두고 떨어진 플라즈마 여기영역을 포함하는, 상기 가공소재를 처리하기 위한 진공 플라즈마 챔버에 관한 것이다.
[15] 또 다른 견지에 따르면, 본 발명은 상기 챔버가, 상대적으로 고주파와 저주파인 RF원에 각각 접속된 제1 및 제2전극, 표준전위에서의 벽과, 플라즈마를한정하기 위한 플라즈마 여기영역을 포함하는, 상기 가공소재를 처리하기 위한 진공 플라즈마 챔버에 관한 것이다. 상기 플라즈마 여기영역은 플라즈마로 여기되는 가스가 상기 영역 속으로 흘러 상기 루버 사이의 영역 밖으로 흐르게 하도록 배치된다.
[16] 또 다른 견지에 따르면, 상기 챔버가, 상대적으로 고주파와 저주파인 RF원에 각각 접속된 제1 및 제2전극, 및 표준전위(접지)에 접속된 여기영역 내의 제3전극을 포함하는, 상기 가공소재를 처리하기 위한 진공 플라즈마 챔버에 관한 것이다. 상기 여기영역 즉, 상기 플라즈마를 포함하는 영역은, 전기적 및/또는 기계적으로 상기 플라즈마를 여기영역으로 한정하지만 비이온화된 가스가 상기 여기영역의 내부로부터 외부로 흐르도록 하는 물리적인 플라즈마 한정장치(Physical Plasma Confinement Arrangement)에 의해 챔버벽과는 격리된다.
[17] 바람직한 실시예에서, 바람직하게는 상기 표준전위에서의 루버를 포함하며, 상기 챔버벽으로부터 일정 거리를 둔 적어도 한쪽을 구비한 플라즈마 여기영역 내에 상기 전극들을 포함한다. 상기 바람직한 실시예의 플라즈마 한정영역은, 상기 여기영역의 반대면 위와 상기 표준전위에서 위치한 제1 및 제2 표면을 포함한다. 제1 및 제2표면들은 각각 상기 루버와 전극들 사이에 위치한다.
[18] 상기 바람직한 실시예의 여기영역의 형상(Geometry)은, 다양한 시스(Sheath)가 상기 플라즈마와 인가된 전극들과 상기 표준전위에서 있는 제1 및 제2표면들 사이에서 생기도록 구성된다. 또한 상기 바람직한 실시예의 여기영역의 형상은, (1) 상기 저주파에 의해 구동된 전극으로부터 상기 표준전위에서의 표면으로보다는 상기 전극들 사이로 상기 저주파에서의 전류가 더 흐르는 경향을 갖고, (2) 상기 전극들 사이보다 상기 표준전위에서의 여기영역의 표면에 대한 고주파에 의해 구동된 전극들 사이에 상기 고주파에서의 전류가 더 흐르는 경향을 가지도록 구성된다.
[19] 본 발명의 상기한 목적과 다른 목적들, 특징 및 장점들은 하나의 특정 실시예에 대한 상세한 설명을 고려한다면, 특히 첨부된 도면을 참조할 때 더 명확해질 것이다.
도면 중 도 1을 참조하면, 플라즈마 처리기(10)는 진공챔버(12)를 포함하는 것으로 예시되어 있으며, 바람직하게는 상기 챔버가 세로중심축(13)에 대하여 대칭이도록 실린더 구성을 가진다. 챔버(12)는 원형천장(16)과 원형 베이스(Base, 18)는 물론, RF와 DC 표준전위(즉, 접지)에서 유지된 고전기전도도의 실린더 금속 측벽(14)을 포함한다. 천장(16)은, 하부면에 고전기전도도의 링(Ring, 21)을 수반하고 외부가 고전기전도도인 고리모양의 금속판(20)을 포함한다. 판(20)과 링(21)은RF와 DC 접지전위에 있도록 전기적 기계적으로 벽(14)에 접속된다. 또한 천장(16)은, 원형의 금속 전극(22)(반응 임피던스 부재를 여기하는 플라즈마)과, 판(20)으로부터 전극(22)을 분리하여 전기적으로 절연하는 고리모양의 전기 절연체(24)를 포함한다. 판(20), 링(21), 전극(22)과 절연체(24)들은 축 13과 동축이다.
[24] 베이스(18)는 고리모양의 외부 금속판(26)을 포함하며, 또한 판(26)이 RF와 DC 접지전위에 있도록 벽(14)에 전기적 기계적으로 접속된다. 판(26)은 벽(14)의 내경에 대하여 약 80퍼센트의 외경을 가진 고전기전도도 금속관(28)을 갖추고 있다. 관(28)은 챔버(12) 쪽으로 돌출되며, 상기 실린더가 RF와 DC 접지전위에 있도록 판(26)과 기계적 전기적으로 접속된다. 관(28)은 챔버(12)의 내부 반경방향으로 확장되는 고전기전도도의 링(29)을 갖추고 있으며, 상기 링(29)이 베이스판(26)과 평행하며 RF와 DC 접지전위에 있다. 또한 판(26)은, 관(28)의 내측벽과 접촉하는 외측벽을 가지며, 상부면이 링(29)의 하부면에 위치한 관형태의 전기절연체(30)를 갖추고 있다. 또한 절연체(30)의 상부면은, 전기절연체 링(32)과 가공소재(36)를 처리하기 위한 원형 전극(34)을 갖추고 있으며, 상기 가공소재는 바람직하게는 반도체 웨이퍼이지만 유전체나 금속기판일 수 있다. 링 32는 전기적으로 상기 링 29로부터 전극 34와 절연되며, 상기 링을 경유하여 상기 링 29의 내부 에지(Edge)와 전극 34의 외부 에지가 각각 인접하는 외부와 내부 에지를 가진다. 전극 34는 상당한 DC 전압원(DC Chucking Voltage Source, 미도시)에 접속된 정전기 척(Electrostatic Chuck)으로서 주로 배치되며, 이 경우 전극 34는 가공소재(36)가 챔버(12)에서 플라즈마에 의해 처리되는 동안 상기 가공소재를 냉각하기 위한 장치(미도시)를 포함한다. 판(26), 관(28), 링 29, 절연체(30), 링 32와 전극 34는 축 13과 동축이다; 가공소재(36)가 전극(34) 위에 적절히 놓일 때 상기 가공소재도 축 13과 동축이다.
[25] 적당한 공급원(미도시)으로부터 처리가스가 전극(22)을 통하여 플라즈마 여기영역(38)에 도입되며, 이는 상기 처리가스가 샤워헤드 효과로 영역(38)에 흐르도록 일련의 배플과 개구부를 포함한다. 플라즈마 여기영역(38)에서, 상기 처리가스는 전극 22와 34가 상대적인 고주파와 저주파에서의 여기영역에 커플링되는 전기장에 따라 가공소재(38)를 처리하는 플라즈마로 전환된다; 상기 바람직한 실시예에서, 상기 고주파와 저주파는 각각 27MHz와 2MHz이다. 전극 22에 공급된 상기 고주파에서의 전력량은 여기영역(38) 내에서 플라즈마의 밀도를 제어하나, 전극 34에 공급된 저주파에서의 전력량은 상기 플라즈마에서의 이온에너지를 제어한다. 바람직하게, 상기 저주파와 고주파들은 2MHz와 27MHz이지만, 상기 플라즈마에서의 이온에너지와 플라즈마 밀도를 각각 제어하는 다른 적당한 저주파와 고주파들이 적용될 수 있다는 점을 이해할 것이다. 전극 22와 34에 공급된 고주파와 저주파를 제어하는 것은, 가공소재(36) 위의 플라즈마에서 에칭물(Etch Species)의 일정한 생산을 할 수 있게 하며, 이는 전체 가공소재의 균일 에칭을 가져온다.
[26] 플라즈마 여기영역(38)은 축 13과 동축인데, 루버장치(40)를 포함하는 영역을 경유하여 측벽(14)과는 떨어져 있다. 하나의 실시예에서, 상기 루버장치(40)들은 DC와 RF에 접지되고, 전기적으로 접지되어 3개의 수직 적층된 링(41-43)을 포함하며, 고전기전도도를 가진다. 바람직하게, 링 21과 29는 물론,접지된 링(41-43)들은 2×10-3Ω-cm 정도로 고전기전도도를 가지도록 도핑된(Doped) 실리콘카바이드로 이루어지고, 영역(38)에서 혹독한 플라즈마 환경을 견딜 수 있다. 접지된 링(41-43)들은 고전기전도도를 가지는 다른 재료, 즉 알루미늄 또는 흑연으로 이루어질 수 있다. 접지된 링(41-43)들은 금속 포스트(Post, 48)에 의해 접지된 금속판(20)에 전기적 기계적으로 연결된다. 접지된 링(41-43)들은 축 13과 동축이며 서로 고정되어 위치하는데, 절연체(30)의 외벽과 인접한 관(28)의 수직으로 연장된 벽의 내경에도 일치되는 정렬된 내부 에지(Aligned Inner Edge)를 구비한다. 링(41-43)들은 관(28)의 수직 외측으로 연장된 외경에도 일치하는 정렬된 외부 에지를 구비한다.
[27] 링(41-43)의 전기 접지는 상기 루버들 내의 영역(38)으로 플라즈마를 한정하는 것을 조장한다. 링(41-43)의 기계적인 관계도 더 이상 이온화되지 않거나 영역(38)에서 이온화되지 않은 가스가 상기 링들 사이의 개구부를 통하여 거의 수평방향으로 흐르도록 하면서 플라즈마를 안정하는 것을 조장한다. 링(41-43)들 사이의 공간을 통하여, 축 13과 동축이고 관(28)의 외벽과 그 내벽(14)으로 각각 형성된 내벽과 외벽을 포함하는 원형 통로(Annular Passage, 48)로 가스가 흐른다. 따라서, 영역(38)은 투사되는 영역(38)으로부터 실질적으로 플라즈마가 존재하지 않은 챔버벽(14)과 떨어져 있는 플라즈마 한정영역으로 생각된다. 통로(48)의 바닥은 판(26)에 있는 개구부(49)에 의해 도관(Conduit, 미도시)에 연결되고, 차례로 챔버(12) 내부로부터 가스를 흡입하는 펌프에 연결되며, 진공, 일반적으로 50millitorr, 바람직하게는 약 5 내지 10millitorr의 진공에서 영역(38) 외측의 상기 챔버 내부를 유지한다. 영역(38)에서의 압력은 일반적으로 20 내지 500millitorr로 비교적 높은데, 루버장치(40)가 제공하는 비교적 높은 흐름 임피던스(Flow Impedance) 때문에 얻어진 결과이다. 하나의 실시예에서, 인접한 여러 쌍의 접지된 루버(41-43)들 사이의 공간은 6.35mm(0.25인치)이다.
[28] 모든 경우에 있어서 루버(41-43)들이 고전도도를 가지고 접지되는 것, 즉 전기적인 플라즈마 한정을 제공하는 것이 반드시 필요하지는 않다. 영역(38)에 플라즈마의 적정한 한정은 복수개(바람직하게는 3개)의 유전체(즉, 저전기전도도)를 포함하고, 석영이나 저전도도 SiC로 제조된 루버링(Louver Ring)을 전기적으로 부유시키는 기계장치로 제공된다. 상기 플라즈마 한정 효과를 제공하기 위하여, 그러한 루버링들은, 루버장치(40)와 챔버벽(14) 사이에서 영역(38)으로부터 상기 챔버 영역으로 흐르는 가스에 대하여 충분히 높은 흐름 임피던스가 제공될 만큼 서로 떨어져야만 한다. 영역(38)과 상기 챔버의 나머지 부분 사이에서, 즉 내부 영역(38)로부터 외부 영역(38)까지, 여러 압력 조건에 대하여 필요한 플라즈마 한정을 제공하는데 일조하기 위하여, 3개의 인접한 쌍의 유전체 루버링들 사이의 공간은 가변된다. 200과 500 millitorr 사이에서 영역(38)의 압력과 5와 10millitorr 사이에서 영역(38) 외부의 압력의 경우, 3개의 부유하는 루버링들의 인접한 쌍들 사이의 공간은 약 0.762와 3.175mm(0.030과 0.125mm) 사이이어야 한다는 것을 밝혀냈다. 상기 상태 압력에서의 그러한 공간은 영역(38)에 적당한 플라즈마 한정을 제공하며, 실질적으로 플라즈마가 벽(14)에 투사되지 않도록 한다. 상기 루버들 사이의 가변 공간은, 종래 기계적인 메카니즘으로 얻어질 수 있는데, 상기 공간 조절로한정 영역 압력과 플라즈마 한정 정도를 제어하도록 영역(38)에서 가스압력을 결정하는 것을 조장한다.
[29] 플라즈마 여기영역(38)은 루버링(41-43)은 물론, 전극 22와 34, 도전링 21과 29 및 절연링 24와 32로 경계를 이룬다. 여기영역(38)에서의 상기 플라즈마는 상기 플라즈마 사이의 커패시턴스(Capacitance)를 형성하는 중성입자들의 시스(Sheath)에 의해 경계를 이루며, 이는 일반적으로 전극 22와 34 및 상기 영역(38)의 경계를 형성하는 표면들에 대한 저항부하로 생각될 수 있다. 상기 시스를 가르지르는 DC 바이어스 전압은 주로 전극 34에 공급된 저주파에서의 전력량으로 제어된다.
[30] 바람직한 실시예에서, 여기영역(38)의 표면을 경계짓는 접지된 전극들은, 상기 여기영역의 표면을 경계짓는 인가된 전극들의 면적보다 상당히 큰 면적을 가진다. 바람직하게, 상기 접지된 전극들에 대한 상기 인가된 전극들의 면적비는 약 1.2:2.5이다. 다시 말해, 여기영역(38)의 표면을 경계짓는 접지된 링(20과 29)의 면적의 합은, 여기영역(38)의 표면을 경계짓는 인가된 전극(22와 34)의 각각의 개별 면적에 대해 약 2배 만큼이다. 이러한 면적비는 전극 34로부터 전극 22에 인가된 고주파 RF 전력을 디커플링(Decoupling)하는 것을 도와준다는 것을 밝혀냈다. 또한, 상술한 면적비는 전극 34를 가로지르는 높은 DC 바이어스를 발생시키는 것을 도와준다; 각 전극에 대한 DC 바이어스는 상기 전극과 그 복귀전극(Return Electrode)을 가로지르는 시스 커패시턴스(Sheath Capacitance)의 비율에 대한 함수이다. 2MHz 전력의 경우, 상기 복귀전극은 접지링(20과 29)은 물론 인가된전극(22)을 포함한다; 전극(22)으로부터 27MHz 전력의 경우, 대역필터(64, 후술할)가 실질적으로 27MHz 전력을 전극 34와 커플링되는 것을 방지하기 때문에, 상기 복귀전극은 주로 접지링 20과 29를 포함한다. 상기 전극 34에 대한 DC 바이어스는 전극 34를 가로지르는 시스 커패시턴스와, 전극 22를 가로지르는 시스 커패시턴스와 상기 접지링 20과 29를 가로지르는 시스 커패시턴스의 합에 대한 함수이다.
[31] DC 바이어스와, 따라서 전극 34와 관련된 시스 커패시턴스는, 전극 34와 그 플라즈마 사이의 DC 전압을 모니터링(Monitoring)하기 위한 DC 전압 프로브(Probe, 미도시)를 포함함으로써 활발하게 제어될 수 있다. 상기 DC 전압 프로브는, 비교적 높은 바이어스 전압이 상기 플라즈마 여기영역 내에서 모든 표면들 위에 유지되도록, 전극 34에 공급된 2MHz 전력을 제어하기 위한 신호를 유도한다. 상기 전극(22, 34)들과 접지링(20과 29)들 사이의 적당한 면적비를 최적화함으로써, 상기 플라즈마 여기영역 표면들에 대해 비교적 높은 바이어스 전압이 유지될 수 있어, 가공소재를 처리하는 동안 상기 챔버 표면에 증착되기 쉬운 폴리머(Polymer)를 제거하는 것을 도와준다. 상기 폴리머를 제거함으로써, 처리기(10)는 청정모드에서 작동하여 청정동안의 평균시간을 크게 증가시켜, 이에 따라 가공소재의 처리수율을 더 높인다.
[32] 플라즈마 여기영역(38)의 부피는 비교적 작아서 서비스에 관련된 장점, 소요 면적의 비용과 처리기(10)에 대한 전체적인 저비용을 제공한다. 하나의 바람직한 실시예에서, 전극(22와 34)의 반경들은 각각 10.5cm 및 9.6cm이며, 중심선(13)과 링(41-43)의 내부 에지 사이의 영역(40)의 반경은 13.45cm이지만, 각절연링(22과 34)의 외부 반경은 11.4cm이다. 전극 22의 바닥면과 전극 34의 상부면 사이의 공간은 1.8cm의 공칭값(Nominal Value)을 가진다. 전극 22와 34의 이들면 사이의 공간은 아래에 서술되는 바와 같이, 상기 전극 34로부터 전극 22까지의 2MHz 여기의 커플링에 대한 추가적인 제어를 제공하기 위하여 한정된 범위에서 가변적이다. 전술한 차원에 따르면, 전극 34에 높은 DC 바이어스 전압을 일으켜, 공급된 27MHz RF 전력의 전극 34로부터 전극 22를 디커플링하는 것을 조장한다는 것을 밝혀냈다.
[33] 회로 50과 52는 각각 전극 22와 34에 대하여 27MHz와 2MHz 여기를 제공한다. 회로 50은, 여기영역 내의 가스를 플라즈마 상태로 여기하여 상기 플라즈마에 대한 원하는 밀도를 제공하기 위해, 전극 22를 인가하는데 충분한 전력을 가진 27MHz원(54)을 포함한다. 회로 52는 전극 34에 대한 실질적인 DC 바이어스 전압과 여기영역(38)에서 플라즈마로 목표 이온에너지를 설정하도록 전극 34를 인가하는데 충분한 전력을 가진 2MHz원(60)을 포함한다.
[34] RF원(54)은, 전극 22와 영역(38)에서 플라즈마를 포함하여, 상기 공급원(54)의 외부 임피던스와 이를 구동하는 부하 사이의 임피던스 매치(Impedance Match)를 유지하기 위하여 공지된 방식으로 제어되는 가변 리액턴스(Variable Reactance, 미도시)를 포함한 매칭 네트워크(Matching Network, 56)를 구동한다. 대역필터(58)는, 매칭 네트워크(56)의 출력단자와 전극(22) 사이에 연결된다. 필터(56)는 상기 공급원(54)의 27MHz 출력을 전극 22에 공급하도록 배치된 통과대역을 가지며, 공급원(60)이 전극 34에 공급하여 영역(38)에서의 플라즈마를 통하여전극 22에 흐르는 2MHz 전류를 위한 접지에 저임피던스 통로를 제공한다.
[35] 필터(58)는 매칭 네트워크(56)의 출력단자와 접지 사이에서 분기되어 접속된 분기(Branch)로서 구성되며, 상기 분기는 공급원(60)의 2MHz에 동조된 직렬 공조회로를 포함한다. 필터(58)의 분기는 커패시터와 직렬 접속한 절연체의 형태의 간단한 동조회로를 포함할 수 있다. 매칭네크워크(56)의 출력단자에 커플링되기 때문에, 공급원(54)의 27MHz 출력은 필터(58)에 영향을 받지 않으며 전극(22)과 커플링되지만, 공급원(60)이 전극(34)에 공급하는 2MHz 전류는 필터(58)를 통하여 접지에 흐른다. 이에 따라, 27MHz 공급원(54)은 필터(58)에 의해 전극(34)의 2MHz 여기로부터 보호되며, 2MHz 주파수에서의 전류는 상기 전극 22와 34의 대향면 사이의 간격을 가로지르는 플라즈마로 양호하게 흐른다.
[36] 회로(52)의 2MHz 공급원(60)은 전극(34)과 영역(38)에서의 플라즈마를 포함하여, 공급원(60)의 출력 임피던스와 그 구동되는 부하 사이에서 임피던스 매치를 유지하도록 공지된 방식으로 제어되는 가변 리액턴스(미도시)를 포함하는 매칭 네트워크(62)를 구동한다. 대역필터(64)는 매칭 네크워크(62)의 출력단자와 전극(34) 사이에 접속된다. 필터(64)는 공급원(54)이 전극(22)에 공급하는 27MHz 전류를 위한 고임피던스 통로를 제공하는 동안, 전극(34)에 공급원(60)의 27MHz 출력을 통과하도록 배치된 통과대역을 가진다.
[37] 필터(64)는 매칭 네트워크(62)의 출력단자와 전극(34) 사이의 직렬로 접속된 분기로서 구성될 수 있으며, 상기 분기는 공급원(54)의 27MHz 주파수에 동조된 병렬 공조회로를 포함한다. 상기 필터(64)의 분기는 커패시터와 병렬 접속한절연체의 형태의 간단한 동조회로를 포함할 수 있다. 매칭네크워크(62)의 출력단자에 커플링되기 때문에, 공급원(60)의 2MHz 출력은 필터(64)에 영향을 받지 않으며 전극(34)과 커플링되지만, 필터(64)는 공급원(54)이 전극(22)에 공급하는 27MHz 전류를 방해한다. 이에 따라, 2MHz 공급원(60)은 필터(6)에 의해 전극(22)의 27MHz 여기로부터 보호되며, 27MHz 주파수에서의 실 전류가 상기 전극 22와 34의 대향면 사이의 간격을 완전히 가로지르는 플라즈마로 흐르는 것을 양호하게 방지한다.
[38] 필터(64) 때문에, 특히 27MHz에서의 전류는 전극(22)과 인접한 영역(38)에서의 플라즈마 부분으로 한정된다. 일반적으로 27MHz 여기는, 상기 간격을 가로질러 전극(34)과 가공소재(36)와 인접한 플라즈마로 투과하지 못한다. 고주파, 즉 전극(22)과 인접한 영역(38)에서의 플라즈마 부분으로의 27MHz 전류를 한정함으로써, 플라즈마의 제어를 더 양호하게 한다. 특정 대역필터(58과 64)들을 설명하였지만, 다른 필터 구성들도 동일하거나 실질적으로 같은 결과를 제공할 수 있다는 점을 이해할 것이다.
[39] 참고적으로, 도면 중 도 2에, 바람직한 실시예에 따른 챔버(12)에 대한 상세한 단면도가 도시되어 있다. 실린더벽(14)은 플라즈마 여기영역(38)의 상하부 각각에 위치하여 영역(38)과 거의 나란한 글라스 관찰포트(Glass Viewing Port, 76)를 포함하는 금속링(74)에 의해 전기적 기계적으로 서로 접속된 금속제의 접지된 실린더(70과 72)를 포함한다. 실린더(70)는 금속벨로우즈(Metal Bellows, 82)의 외벽과 결합되는 내벽을 구비하며, 상기 벨로우즈가 축 13과 동축이도록 원형 형상을 가진다. 벨로우즈(82)의 내벽은 축 13과 동축인 금속 슬리브(Sleeve, 84)에 결합된다. 벨로우즈(82)는, 링(76)은 물론 실린더(70과 72)를 포함하는 고정된 측벽(14)에 대하여 슬리브(84)의 수직 운동을 제공하도록, 상기 벨로우즈를 상하로 구동하기 위한 수직 이동 가능한 액츄에이터(Actuator, 미도시)에 연결된 상부면을 구비한다. 슬리브(84)와 측벽(14) 사이의 상대 운동은 전극 22와 34 사이의 여기영역(38)에서 간격을 변화시킨다.
[40] 슬리브(84)는 금속, 바람직하게는 알루미늄의 상부면에 고정 연결된 하부 에지를 구비하며, 실리콘카바이드로 된 고전기전도도 링(21)의 상부면의 일부에 고정 연결된 하부면을 구비한다. 금속포스트(46)는, 실리콘카바이드 링(41-43)을 매달며, 바람직하게는 나사로 구성되는데, 상기 영역(38)의 주변에서 링(41-43)을 고정 연결하기 위하여 링 21과 86에 공통된 삽입공(Threaded Bore)으로 구동된다. 전기절연링(88)은, 바람직하게 산화알루미늄(Aluminum Trioxide, Al2O3)으로 제조되는데, 슬리브(84)와 전극(22)이 서로 전기적으로 절연되도록 전기적으로 접지된 슬리브(84)와 인가된 전극(22)의 금속판(85) 사이에 삽입된다. 링(88)은 판(85)과 슬리브(84)가 고정 연결되는 대향된 표면을 구비한 숄더(Shoulder, 90)를 포함한다. 링(88)은 고유전체 링(91)의 외벽을 경계짓는 내벽을 구비하며, 압력 프로브(92)용 챔버 외벽을 제공하는 내부 표면을 구비한다. 압력 프로브(92)용 챔버의 내벽은, 바람직하게 산화알루미늄으로 제조된 절연링(34)의 외벽에 의해 제공된다. 판(85)에 있는 통로(94)는 판(85)의 상부에 있는 피팅(Fitting, 106)에 맞게 유체가 흐르도록 압력 프로브(92)와 연결된다.
[41] 링(24)은 여기챔버(38)로 확장되어, 상기 실리콘카바이드 링(21)과 여기챔버(38)의 상부 경계선 위에 전극(22)의 일부를 형성하는 원형의 실리콘판(98)의 나란한 바닥면의 바로 아래로 연장된 하부면을 구비한, 하방으로 매달린 부속물(Appendage, 96)을 포함한다. 그러한 배열로, 링(21)과 판(98) 사이의 전기적인 절연을 제공하는 것이 조장된다. 부속물(96)은 판(98)의 외부 에지와 링(21)의 내부 에지를 각각 경계짓는 내벽과 외벽을 구비한다. 링(24)은 흑연링(100)의 대응하는 표면에 인접하여 수직 수평으로 연장된 내부 표면을 구비하며, 실리콘판(98)에 결합된 하부 에지를 구비한다.
[42] 전극(22)은, 상기 전극의 상부에서의 알루미늄판(85)과 상기 전극의 하부에서의 실리콘판(98)을 포함하는 것과 더불어, 떨어져 있는 배플판(Baffle Plate, 101-103)을 포함하며, 이들은 영역(38)에서 가스가 상기 플라즈마로 여기되기 위한 유체 흐름 경로를 제공하도록 판 85와 98 사이에 삽입된다. 상기 가스는 알루미늄판의 상부면 위에 피팅(106)을 통하여 도입되며, 이 피팅은 상기 배플판에 가스를 공급하기 위한 통로(미도시)를 포함한다. 판(98)은 여기영역(38)을 흐르는 가스에 대하여 샤워헤드 효과를 제공하기 위하여, 배플판(101-103)을 통하여 흐르는 가스를 위한 개구부(미도시)를 포함한다. 알루미늄판(85)의 상부면은 전기 커넥터(Connector, 108)에 기계적 전기적으로 연결되어, 공급원(54)이 만들어 매칭 네크워크(56)를 통하여 흐르는 27MHz 전류에 응답하도록 연결된 리드(Lead)에 연결된다. 판(85)의 상부면도 전극(22)의 온도를 제어하기 위한 냉매원(Source of Coolant Fluid, 미도시)에 연결된 통로(109)를 포함한다.
[43] 하부 어셈블리(Bottom Assembly)의 접지된 금속관(28)은 실리콘카바이드링(29)의 하부면에 전기적 기계적으로 연결된 상부면과, 다수의 금속블럭(Block, 112)에 의해 알루미늄관(114)의 외측벽에 전기적 기계적으로 연결된 하부면을 구비한다. 블럭(112)은, 상기 블럭이 여기영역(38)으로부터 금속 베이스판(18)에 있는 개구부로의 가스 흐름에 대하여 실제 영향을 주지못하도록 통로(48)에서 관(114)의 외측벽에 대하여 동일한 공간을 형성한다. 판(18)은 관(72와 114)의 하부 에지에 기계적 전기적으로 연결된다. 이에 따라, 링(110)과 관(114)은, 함께 도 1의 관(28)을 형성하며, 관(72)과 벽(14)과 같은 동일한 RF 및 DC 접지전위에 있다.
[44] 석영 링(116)과 산화알루미늄 링(115)을 포함하는 전기 절연체 어셈블리(115)는 전극(34)으로부터 금속링(110)과 금속관(114)을 전기적으로 절연한다. 절연링(116)은 실리콘카바이드 링(29)의 하부면으로부터 아주 약간 떨어져 인접한 상부면과, 링(220)의 내벽으로부터 아주 약간 떨어져 인접한 외벽을 구비한다. 상기 링 116의 하부는 상기 링 118의 상부면에 겹쳐져 있는 숄더를 구비한다. 또한 링 116은 상기 링 118의 외벽과 상기 링 110의 내벽의 하부 사이에 삽입되어 하방으로 매달린 플랜지(Flange)를 포함한다. 링(118)은 상기 금속관(114)의 상부 에지에 대하여 겹쳐져 있는 하부면을 구비하며, 다수의 나사(125, 하나만 도시)에 의해 상기 원형의 알루미늄판(122)에 고정 부착되어 전기적으로 절연되는 산화알루미늄 슬리브(120)의 외벽에 경계를 이루는 내벽을 구비한다.
[45] 석영 링(116)은 전극(34)을 형성하며, 서로 전기적 기계적으로 연결된 원형의 적층된 알루미늄판(122와 124)의 주변으로부터 아주 약간 떨어져 인접한 내벽을 구비한다. 전기 커넥터(126)는 상기 판(122)의 바닥면에 기계적 전기적으로연결되며, 공급원(60)의 2MHz 출력을 상기 커넥터에 공급하는 리드에 접속된다. 상기 판(124)의 하부면에 있는 캐비티(Cavity, 128)는 상기 판(124)의 하부면으로부터 가공소재(36)을 상승시키기 위한 상승핀(Lifter Pin, 130)을 포함한다. 상기 판(124)의 상부면도 헬륨과 같은 냉매가 처리 중 가공소재(36)의 온도를 제어하는것을 돕기 위해 흐르는 캐비티(미도시)를 포함한다. 판(122)은 냉매 액체가 상기 가공소재의 온도를 제어하는 것을 돕기 위해 흐르는 홈(Groove, 미도시)을 포함한다.
[46] 절연링(32)은, 인가된 전극(34)의 금속판(124)을 접지된 실리콘카바이드 링(29)으로부터 전기적으로 절연하는데, 비교적 얇은 석영 링 134가 결합되는 상부면을 구비한 석영 링 132을 포함한다. 석영 링 132는 판(124)의 수직 수평 외측면과 석영 링 116의 상부 측벽부 사이의 원형 부피에 꼭 맞는다. 링 132는 판(124)의 수직 수평 외부 표면에 결합되어 석영 링 116의 상부 측벽부로부터 약간 떨어져 있다. 링 134의 상부면은, 링 29와 전극(34) 사이의 전기적 절연을 제공하도록 실리콘카바이드 링(29)와 전극(34)의 판(124)의 나란한 상부면의 약간 위에서 챔버(38)로 연장된다.
[47] 도 2에 예시된 처리기 챔버는, 보통 가스킷과, 가공소재 처리를 위한 필요한 진공을 얻기 위하여 챔버 안쪽을 씰링(Sealing)하는데 필요한 다른 부재들을 포함한다는 것을 이해할 것이다. 이들 부품들의 다양한 열팽창계수와, 비작업 중 상기 챔버의 온도에 비하여 가공소재 처리 중 상기 챔버에서 발생되는 상승 온도 때문에, 다양한 부품들 사이의 공간이 제공된다.
[48] 참고적으로, 도면의 도 3은, 도 1에 예시된 처리기와 도 2에 예시된 챔버에 대한 전기회로도, 즉 등가회로도이다. 도 1의 처리기는, 여기영역(38)이 접지전극과 여러 RF 주파수에 의해 인가된 2개의 전극들을 포함하기 때문에, 이중 주파수 3극관(Dual Frequency Triode)으로 생각될 수 있다.
[49] 영역(38)에서 여기된 플라즈마는 비교적 낮은 저항으로 생각될 수 있기 때문에, 상기 플라즈마는 단자(140)로서 도 3에 예시된다. 회로 50은 고주파 RF 공급원(54), 매칭 네트워크(56)와, 상기 매칭 네트워크(56)의 단자와 접지 사이에 서로 직렬로 연결된 인덕터(Inductor, 142)와 커패시터(144)로 구성되는 분기된 대역필터(58)를 포함한다. 인덕터(142)와 커패시터(144)는, 공급원(60)이 유도하는 2MHz 전류를 위한 직렬 공진회로를 제공하도록 하는 값을 가진다. 회로 52는 상대적으로 저주파인 RF 공급원(60), 매칭 네트워크(62)와, 상기 매칭 네트워크(56)의 단자와 전극(34) 사이에 서로 병렬로 연결된 인덕터(146)와 커패시터(148)로 구성되는 직렬 대역필터(64)를 포함한다. 인덕터(146)와 커패시터(148)는, 공급원(54)이 유도하는 27MHz 전류를 위한 병렬 공진회로를 제공하도록 하는 값을 가진다.
[50] 상부 전극(22)은 저항(152)과 병렬인 커패시터(150)로 생각될 수 있지만, 하부 전극(34)은 커패시터(154)로서 생각될 수 있다. 전극 22와 플라즈마 140 사이의 플라즈마 시스는 저항 156, 커패시터 158과 다이오드 160의 병렬 조합에 의해 나타나며, 상기 플라즈마가 전극 22의 DC 전압보다 낮은 DC 전압에 있도록, 공급원 54로부터 플라즈마(140)로 양전류가 흐르게 극성을 가진다. 전극 34와 플라즈마(140) 사이의 플라즈마 시스는 저항 162, 커패시터 164와 다이오드 166의 병렬조합에 의해 나타나며, 상기 플라즈마가 전극 34의 DC 전압보다 낮은 DC 전압에 있도록, 공급원 60으로부터 플라즈마(140)로 양전류가 흐르게 극성을 가진다. 상기 플라즈마(140)과 접지 표면, 특히 링 21, 29, 41-43 사이의 플라즈마 시스는, 저항 168, 커패시터 170과 다이오드 172의 병렬 조합에 의해 나타나며, 상기 플라즈마가 상기 접지 표면의 DC 전압보다 높은 DC 전압에 있도록, 플라즈마(140)로부터 상기 접지 표면(단자 174로 도시)으로 음전류가 흐르게 극성을 가진다.
[51] 도 3에서, 공급원 54로부터의 고주파 전류는, 상부 전극(22)을 통하여, 저항 156, 커패시터 158과 다이오드 160으로 도시된 상부 시스가 플라즈마(140)로 흐른다. 대역 필터(64)의 고주파 전류에 대한 고임피던스 효과 때문에, 고주파 전류는 회로 52에서 흐르는 것이 실질적으로 방지되어 저항 168, 커패시터 170과 다이오드 172를 포함하는 시스를 경유하여 플라즈마(140)로부터 접지단자(174)로 흐른다. 챔버(12)의 형상은, 전술한 바와 같이, 공급원(54)으로부터 플라즈마(140)로, 이에 따라 접지단자(174)로의 이러한 전류 흐름을 향상시킨다. 따라서, 여기영역(38)의 플라즈마의 밀도에 대한 정확한 제어는 RF 공급원(54)의 전력에 대한 정확한 제어로 제공될 수 있다.
[52] 공급원(60)으로부터의 저주파 전류는 하부 전극(34)를 통하여, 이 때문에 저항 162, 커패시터 164와 다이오드 166을 포함하는 하부 시스, 플라즈마(140) 그리고 저항 156, 커패시터 158과 다이오드 160을 포함하는 상부 시스를 경유하여 주로 상부 전극(22)으로 흐른다. 대역필터(58)의 저주파 전류에 대한 저임피던스 효과 때문에, 저주파 전류는 필터(58)를 통하여 플라즈마(140)로부터 접지로 용이하게 흐른다. 챔버(12)의 형상은, 전술한 바와 같이, 저항 168, 커패시터 170와 다이오드 172를 포함하는 접지 시스를 경유하여, 공급원(60)으로부터 접지단자(174)로의 저주파 전류의 실질적인 흐름을 방지하는데 일조한다. 따라서, 영역(38)의 이온들의 에너지에 대한 정확한 제어는 RF 공급원(60)의 전력에 대한 정확한 제어로 제공될 수 있다.
[53] 영역(38)에서 상기 플라즈마의 밀도와 이온들의 에너지에 대한 정확한 제어를 제공함으로써, 상기 플라즈마에서 중성물질에 대한 이온과 레디컬의 정확한 비율이 제공되고 유지된다. 결론적으로, 가공소재(36)에 충격을 주는 이온의 에너지는 물론, 상기 가공소재 위의 공간에 있는 이온, 레디컬과 중성물질의 잔류시간에 대한 정확한 제어가 제공된다. 이들 요소들 때문에, 처리기(10)는 HARC, SAC 및 Low-K와 같은 에칭 공정의 폭넓은 변화를 위하여, 종래 기술에서의 처리기보다 더 양호한 제어를 제공한다.
[54] 챔버(12)의 형상은, 상기 영역(38)의 비교적 적은 공간에 대한 상기 플라즈마의 한정을 포함하여, 도 3으로부터 도시된 바와 같이, 실질적인 바이어스 전압이 영역(38)에서의 모든 표면에 발생되도록 한다. 실질적인 바이어스 전압은, 플라즈마(140)와 (1) 전극 22, (2) 전극 34와 (3) 단자(174)로 나타나는 영역(38)의 접지 표면 사이의 시스를 경유하여 설정된다. 상기 바이어스 전압과 상기 시스들은, 여기영역(38)에서의 표면들에 발생하기 쉬운 상기 플라즈마를 방지하는 경향이 있어, (1) 처리 중 상기 표면들을 청정하게 유지하여, 이에 따라 실질적으로 상기 챔버 내부의 청정시의 평균시간을 증가시키고, (2) 상기 표면의 상승을 연장하도록상기 플라즈마에 의한 이들 표면의 소모를 감소시킨다.
[55] 본 발명의 특정한 실시예를 예시하여 설명하였지만, 상세히 예시하여 설명한 상기 상세한 실시예에서 다양한 변형들을, 첨부된 청구항들로 정의되는 바와 같은 본 발명의 실제 요지와 영역을 벗어나지 않고 만들 수 있다. 예컨대, 영역(38)에서의 압력은, 상기 영역에서의 압력 센서와, 압력 설정점에서 반응하는 구동부(Drive)와, 루버(41-43)들 사이의 공간을 제어하기 위한 압력 센서를 포함하는 폐루프 제어기(Closed Loop Controller)로 제어될 수 있다.

Claims (24)

  1. 챔버(Chamber)에서 가스와 전기적으로 커플링(Coupling)하며, 제1주파수를 갖는 제1 RF 플라즈마 여기원(Fisrt Plasma Excitation Source)에 접속하기 위한 제1전극과, 가공소재(Workpiece)를 나르며, 상기 챔버에서 가스와 전기적으로 커플링하고 제2주파수를 갖는 제2 RF 바이어스원(Second RF Bias Source)에 접속하기 위한 제2전극을 포함하는 가공소재를 처리하기 위한 진공 플라즈마 챔버(Vacuum Plasma Chamber)와;
    제1 RF원에 실질적으로 커플링되지 않고, 제2주파수에서의 전류가 상기 제2전극으로부터 상기 제1전극으로 흐르도록 하며, 상기 제1 RF원으로부터 흐르는 상대적으로 고주파인 제1주파수에서의 전류가 상기 제2전극과 제2 RF원으로 흐르는 것을 실질적으로 방지하도록 하여, 상기 제1전극과 상기 제2전극에 접속된 필터장치(Fliter Arrangement)와;
    상기 챔버는, 표준전위(Reference Potential)에 접속된 벽(Wall)을 포함하며, 상기 제1전극과 제2전극은 상기 플라즈마를 한정하기 위한 플라즈마 여기영역(Plasma Excitation Region)에 내재되며, 상기 영역은 적어도 상기 챔버벽으로부터 떨어져 있는 적어도 한면을 구비하여 상기 영역으로부터 상기 챔버의 다른 부분에 가스가 흐르도록 배치되는 결합.
  2. 챔버의 외벽으로부터 떨어져 있는 플라즈마 한정영역(Plasma ConfinementRegion)을 포함하고, 상기 영역은 상기 영역으로부터 상기 챔버의 다른 부분으로 가스가 흐르도록 배치되며,
    상기 영역은, 상기 챔버에서 가스와 전기적으로 커플링하며 제1주파수를 갖는 제1 RF 플라즈마 여기원에 접속하기 위한 제1전극과, 가공소재를 나르며 상기 챔버에서 가스와 전기적으로 커플링하고 제2주파수를 갖는 제2 RF 바이어스원에 접속하기 위한 제2전극과, 상기 영역에서의 압력용 제어기(Controller)를 포함하는 진공 플라즈마 챔버.
  3. 챔버에서 가스와 전기적으로 커플링하며 상대적으로 고주파인 제1 RF원에 접속하기 위한 제1전극과, 가공소재를 나르며 상기 챔버에서 가스와 전기적으로 커플링하고 상대적으로 저주파인 제2 RF원에 접속하기 위한 제2전극과, 표준전위에서의 외벽과, 상기 영역으로부터 상기 챔버 밖으로 가스가 배출되기 위한 출구(Exit)와, 상기 플라즈마가 상기 영역으로 한정되도록 하여 상기 영역으로부터 가스가 배출되도록 배치되며, 상기 영역으로부터 배출되는 가스가 상기 외벽으로부터 떨어져 상기 영역과 벽 사이로부터 상기 출구로 흐르도록 상기 영역이 배치되는 플라즈마 여기영역을 포함하는, 가공소재를 처리하기 위한 진공 플라즈마 챔버.
  4. 챔버에서 가스와 전기적으로 커플링하며 상대적으로 고주파인 제1 RF원에 접속하기 위한 제1전극과, 가공소재를 나르며 상기 챔버에서 가스와 전기적으로 커플링하고 상대적으로 저주파인 제2 RF원에 접속하기 위한 제2전극과, 표준전위에 접속된 제3전극 플라즈마 한정(Third Electrode Plasma Confining)을 포함하는, 가공소재를 처리하기 위한 진공챔버.
  5. 제1항의 결합 또는 제3항 또는 제4항의 챔버에 있어서,
    상기 필터장치는, 제1주파수에서의 전류가 상기 제1 RF원으로부터 상기 제1전극에 흐르도록 하여, 상기 제2주파수에서의 전류가 제1전극으로부터 제1 RF원으로 흐르는 것을 실질적으로 방지하기 위한 제1전극에 접속되는 제1필터와,
    제2주파수에서의 전류가 상기 제2 RF원으로부터 상기 제2전극에 흐르도록 하여, 상기 제2주파수에서의 전류가 제1전극으로부터 제1 RF원으로 흐르는 것을 실질적으로 방지하기 위한 제2전극에 접속되는 제2필터를 포함하는 것을 특징으로 하는 진공챔버.
  6. 제5항에 있어서,
    상기 제1필터는, 상기 반응 임피던스 부재에 분기되어 접속하여 저주파에서의 전류를 통과시키고, 실질적으로 고주파에서의 전류를 감쇠시키기 위한 통과대역을 가지는 결합.
  7. 제5항 또는 제6항에 있어서,
    상기 제2필터는, 상기 전극과 직렬로 접속되어 저주파에서의 전류를 통과시키고 실질적으로 고주파에서의 전류를 감쇠시키기 위한 통과대역을 가지는 결합.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서,
    상기 고주파는 상기 가공소재를 처리하는 플라즈마의 밀도를 제어하며, 상기 저주파는 상기 플라즈마에서 이온들의 에너지를 제어하도록 하는 것을 특징으로 하는 결합.
  9. 제2항에 있어서,
    상기 제어기는 상기 영역에 있는 압력센서와, 상기 압력센서에 반응하도록 접속된 폐루프 제어기(Closed Loop Controller)와, 영역에서의 압력을 제어하기 위한 압력설정점을 포함하는 것을 특징으로 하는 진공챔버.
  10. 제9항에 있어서,
    상기 플라즈마 여기영역은, 상기 표준전위에 접속되며 상기 벽으로부터 떨어져 있는 루버(Louver)들을 포함하고, 상기 플라즈마 여기영역은, 상기 가스가 상기 다른 전극들을 통하여 상기 플라즈마 여기영역 내로 흘러 상기 플라즈마 여기영역의 외부 및 상기 루버들 사이에서 상기 챔버의 다른 부분으로 흐르도록 배치되는 것을 특징으로 하는 결합.
  11. 제10항에 있어서,
    상기 플라즈마 여기영역은, 상기 전극들과 루버들로 둘러싸인 것을 특징으로하는 결합.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서,
    상기 플라즈마 여기영역은, 상기 챔버벽과 상기 가공소재를 나르기 위한 전극에 대한 중심점에 대하여 대칭인 것을 특징으로 하는 결합.
  13. 제2항 내지 제12항 중 어느 한 항에 있어서,
    상기 플라즈마 여기영역은, 상기 전극들 사이의 공간이 마음대로 변화될 수 있도록 배치되는 것을 특징으로 하는 결합.
  14. 제10항 내지 제13항 중 어느 한 항에 있어서,
    상기 플라즈마 여기영역은 상기 표준전위에서의 제1 및 제2표면들을 포함하고, 상기 제1 및 제2표면들은 각각 상기 루버들과 제1전극과 제2전극 사이에 위치하는 것을 특징으로 하는 결합.
  15. 제2항 내지 제14항 중 어느 한 항에 있어서,
    상기 여기영역의 형상은, 상기 영역에서의 플라즈마 사이와 각각의 (a) 상기 제1전극과 (b) 제2전극 및 (c) 상기 표준전위에서의 제1 및 제2표면들 사이에서 여러 시스(Sheath)들이 발생하도록 이루어지는 것을 특징으로 하는 결합.
  16. 제2항 내지 제15항 중 어느 한 항에 있어서,
    상기 여기영역의 형상은, 상기 저주파에서의 전류가 상기 제1전극으로부터 상기 표준전위에서의 여기영역의 표면들보다 상기 제1전극과 제2전극 사이에서 더 흐르는 경향을 가지도록 되는 것을 특징으로 하는 결합.
  17. 제2항 내지 제16항 중 어느 한 항에 있어서,
    상기 여기영역의 형상은, 상기 고주파에서의 전류가 상기 제2전극으로부터 상기 가공소재를 나르는 전극보다 상기 제2전극과 상기 표준전위에서의 상기 여기영역의 표면들 사이에서 더 흐르는 경향을 가지도록 되는 것을 특징으로 하는 결합.
  18. 제3항 내지 제17항 중 어느 한 항에 있어서,
    상기 여기영역과 챔버벽은 실질적으로 상기 플라즈마 한정장치(Plasma Confinement Arrangment)에 의해 서로 격리되어 있는 것을 특징으로 하는 진공챔버.
  19. 제18항에 있어서,
    상기 한정장치는 상기 여기영역의 안쪽에서부터 상기 여기영역의 밖으로 가스가 흐르고 상기 영역에서의 가스 압력에 영향을 주기 위한 장치인 것을 특징으로 하는 진공챔버.
  20. 제10항 또는 제11항에 있어서,
    상기 루버장치(Louver Arrangement)의 루버들은 고전기전도도를 가지며, 상기 표준전위에 있는 것을 특징으로 하는 진공챔버.
  21. 제10항 또는 제11항에 있어서,
    상기 루버장치의 루버들은 저전기전도도를 가지며 전기적으로 부유하여 상기 플라즈마를 기계적으로 한정하도록 배치되는 것을 특징으로 하는 진공챔버.
  22. 제20항 또는 제21항에 있어서,
    상기 인접한 한쌍의 루버들 사이의 공간은 상기 기계적인 한정을 제공하도록 구성되는 것을 특징으로 하는 진공챔버.
  23. 제22항에 있어서,
    상기 공간은 조정 가능한 것을 특징으로 하는 진공챔버.
  24. 제3항 또는 제4항에 있어서,
    상기 제1 및 제2전극과 커플링된 필터장치를 추가로 포함하고,
    상기 필터장치는, 상대적으로 고주파인 제1 RF원에 실질적으로 커플링되지 않고, 상기 상대적으로 낮은 제2저주파에서의 전류가 상기 전극으로부터 상기 반응임피던스 부재에 흐르도록 하여, 상대적으로 고주파인 제1 RF원으로부터 흐르는 상대적으로 높은 제1고주파에서의 전류가, 상기 전극과 상대적으로 저주파인 제2 RF원으로 흐르는 것을 실질적으로 방지하는 것을 특징으로 하는 진공챔버.
KR1020047001952A 2001-08-08 2002-08-08 독립적인 플라즈마 밀도/화학 및 이온에너지 제어를 갖춘이중 주파수 플라즈마 에칭 반응기 KR100936647B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US31105001P 2001-08-08 2001-08-08
US60/311,050 2001-08-08
US10/032,279 2001-12-31
US10/032,279 US6984288B2 (en) 2001-08-08 2001-12-31 Plasma processor in plasma confinement region within a vacuum chamber
PCT/US2002/025223 WO2003015123A2 (en) 2001-08-08 2002-08-08 Dual frequency plasma etch reactor with independent plasma density/chemistry and ion energy control

Publications (2)

Publication Number Publication Date
KR20040038990A true KR20040038990A (ko) 2004-05-08
KR100936647B1 KR100936647B1 (ko) 2010-01-14

Family

ID=26708215

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047001952A KR100936647B1 (ko) 2001-08-08 2002-08-08 독립적인 플라즈마 밀도/화학 및 이온에너지 제어를 갖춘이중 주파수 플라즈마 에칭 반응기

Country Status (8)

Country Link
US (1) US6984288B2 (ko)
EP (1) EP1415322B1 (ko)
KR (1) KR100936647B1 (ko)
CN (1) CN100401451C (ko)
AT (1) ATE368936T1 (ko)
DE (1) DE60221535T2 (ko)
TW (1) TWI272041B (ko)
WO (1) WO2003015123A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100906701B1 (ko) * 2007-12-20 2009-07-07 주식회사 디엠에스 기판에 식각 영역을 만들기 위한 장치

Families Citing this family (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
US6926803B2 (en) * 2002-04-17 2005-08-09 Lam Research Corporation Confinement ring support assembly
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7326872B2 (en) 2004-04-28 2008-02-05 Applied Materials, Inc. Multi-frequency dynamic dummy load and method for testing plasma reactor multi-frequency impedance match networks
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US20050263070A1 (en) * 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
KR100532354B1 (ko) * 2004-05-31 2005-11-30 삼성전자주식회사 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) * 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
CN102256431B (zh) * 2004-06-21 2014-09-17 东京毅力科创株式会社 等离子体处理装置和方法
KR20060005560A (ko) * 2004-07-13 2006-01-18 삼성전자주식회사 플라즈마를 이용하는 반도체 소자 제조 장비
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
KR100790392B1 (ko) 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
US7632375B2 (en) * 2004-12-30 2009-12-15 Lam Research Corporation Electrically enhancing the confinement of plasma
US7364623B2 (en) * 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
WO2006096716A2 (en) * 2005-03-07 2006-09-14 Mounir Laroussi Plasma generator
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7342361B2 (en) 2005-05-11 2008-03-11 Dublin City University Plasma source
IES20050301A2 (en) 2005-05-11 2006-11-15 Univ Dublin City Plasma source
US20060278339A1 (en) * 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7780814B2 (en) * 2005-07-08 2010-08-24 Applied Materials, Inc. Wafer pre-clean reactor cable termination for selective suppression/reflection of source and bias frequency cross products
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US20070221332A1 (en) * 2006-03-22 2007-09-27 Tokyo Electron Limited Plasma processing apparatus
US7517437B2 (en) * 2006-03-29 2009-04-14 Applied Materials, Inc. RF powered target for increasing deposition uniformity in sputtering systems
US8192576B2 (en) * 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7758718B1 (en) 2006-12-29 2010-07-20 Lam Research Corporation Reduced electric field arrangement for managing plasma confinement
US20080162587A1 (en) * 2006-12-29 2008-07-03 Ulrich Auer Server synchronization for maintenance activities
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US8450635B2 (en) * 2007-03-30 2013-05-28 Lam Research Corporation Method and apparatus for inducing DC voltage on wafer-facing electrode
US7824519B2 (en) * 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
CN101419904B (zh) * 2007-10-22 2012-04-25 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体约束装置及等离子体处理装置
CN101441983B (zh) * 2007-11-21 2011-01-12 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体约束装置及应用该约束装置的半导体处理设备
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
US7999239B2 (en) * 2007-12-10 2011-08-16 Varian Semiconductor Equipment Associates, Inc. Techniques for reducing an electrical stress in an acceleration/deceleraion system
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
JP5264231B2 (ja) * 2008-03-21 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
JP4792060B2 (ja) * 2008-05-22 2011-10-12 キヤノンアネルバ株式会社 マグネトロンスパッタリング装置及び薄膜の製造法
US9887069B2 (en) * 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
US8518094B2 (en) * 2010-03-02 2013-08-27 Bwt Property, Inc. Precisely guided phototherapy apparatus
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5835985B2 (ja) * 2010-09-16 2015-12-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN102469675A (zh) * 2010-11-05 2012-05-23 北京北方微电子基地设备工艺研究中心有限责任公司 功率匹配装置和半导体设备
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103348776B (zh) * 2011-02-15 2017-06-09 应用材料公司 多区等离子体生成的方法和设备
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
KR101971312B1 (ko) * 2011-11-23 2019-04-22 램 리써치 코포레이션 다중 존 가스 주입 상부 전극 시스템
WO2013078434A1 (en) 2011-11-24 2013-05-30 Lam Research Corporation Plasma processing chamber with flexible symmetric rf return strap
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN104289382B (zh) * 2014-07-10 2019-04-05 华东师范大学 利用旋吸法在微通道板侧壁沉积薄膜的方法及其专用装置
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105228330B (zh) * 2015-09-01 2018-09-14 沈阳拓荆科技有限公司 一种射频等离子体设备匹配器
US20170278679A1 (en) * 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102256216B1 (ko) * 2019-06-27 2021-05-26 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 제어 방법
CN112863983B (zh) * 2019-11-28 2023-09-29 中微半导体设备(上海)股份有限公司 用于等离子体处理设备的下电极组件和等离子体处理设备

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4612207A (en) * 1985-01-14 1986-09-16 Xerox Corporation Apparatus and process for the fabrication of large area thin film multilayers
DE4039930A1 (de) 1990-12-14 1992-06-17 Leybold Ag Vorrichtung fuer plasmabehandlung
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JP3351843B2 (ja) 1993-02-24 2002-12-03 忠弘 大見 成膜方法
JP3162245B2 (ja) * 1994-04-20 2001-04-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JP2000514600A (ja) * 1996-07-03 2000-10-31 ティーガル コーポレイション 半導体ウェーハーをエッチングするための方法及びその装置
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
JP3565311B2 (ja) * 1997-12-17 2004-09-15 アルプス電気株式会社 プラズマ処理装置
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
US6019060A (en) * 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6602381B1 (en) * 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100906701B1 (ko) * 2007-12-20 2009-07-07 주식회사 디엠에스 기판에 식각 영역을 만들기 위한 장치

Also Published As

Publication number Publication date
WO2003015123B1 (en) 2003-11-20
US6984288B2 (en) 2006-01-10
CN1539156A (zh) 2004-10-20
ATE368936T1 (de) 2007-08-15
KR100936647B1 (ko) 2010-01-14
TWI272041B (en) 2007-01-21
US20030029567A1 (en) 2003-02-13
WO2003015123A3 (en) 2003-09-25
DE60221535D1 (de) 2007-09-13
WO2003015123A2 (en) 2003-02-20
CN100401451C (zh) 2008-07-09
EP1415322B1 (en) 2007-08-01
DE60221535T2 (de) 2008-04-17
EP1415322A2 (en) 2004-05-06

Similar Documents

Publication Publication Date Title
KR100936647B1 (ko) 독립적인 플라즈마 밀도/화학 및 이온에너지 제어를 갖춘이중 주파수 플라즈마 에칭 반응기
US9190302B2 (en) System and method for controlling plasma with an adjustable coupling to ground circuit
US7658816B2 (en) Focus ring and plasma processing apparatus
KR101209536B1 (ko) 플라즈마의 한정을 전기적으로 강화시키는 방법
KR100455091B1 (ko) 플라즈마처리장치
EP1289003B1 (en) Plasma processing apparatus
US7169256B2 (en) Plasma processor with electrode responsive to multiple RF frequencies
KR100576399B1 (ko) 포커스 링 및 플라즈마 처리 장치
US8513563B2 (en) Plasma processing apparatus and plasma processing method
WO2003054911A2 (en) Plasma process apparatus
KR20040045913A (ko) 플라즈마에 튜닝되는 샤워헤드 rf 전극을 갖는 아킹 억제된 merie 플라즈마 반응기
US20230044703A1 (en) Plasma processing equipment
US8181597B2 (en) Plasma generating apparatus having antenna with impedance controller
JP2000331996A (ja) プラズマ処理装置
TW202004831A (zh) 電漿處理裝置
CN113394069A (zh) 等离子体处理装置
KR100627785B1 (ko) 유도 결합 플라즈마 처리 장치
TWI784401B (zh) 電漿處理裝置及電漿處理方法
CN115398602A (zh) 等离子处理装置以及等离子处理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121226

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131224

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141222

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20151223

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20161227

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20171227

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee