DE60221535T2 - Zwei-frequenz-plasmaätzreaktor mit unabhängiger kontrolle für dichte, chemie und ionenenergie - Google Patents

Zwei-frequenz-plasmaätzreaktor mit unabhängiger kontrolle für dichte, chemie und ionenenergie Download PDF

Info

Publication number
DE60221535T2
DE60221535T2 DE60221535T DE60221535T DE60221535T2 DE 60221535 T2 DE60221535 T2 DE 60221535T2 DE 60221535 T DE60221535 T DE 60221535T DE 60221535 T DE60221535 T DE 60221535T DE 60221535 T2 DE60221535 T2 DE 60221535T2
Authority
DE
Germany
Prior art keywords
electrode
plasma
source
chamber
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60221535T
Other languages
English (en)
Other versions
DE60221535D1 (de
Inventor
Rajinder San Jose DHINDSA
Mukund Fremont SRINIVASAN
Eric Pleasanton LENZ
Lumin Santa Clara LI
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of DE60221535D1 publication Critical patent/DE60221535D1/de
Application granted granted Critical
Publication of DE60221535T2 publication Critical patent/DE60221535T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Description

  • Beziehung zu anhängiger Anmeldung
  • Die vorliegende Anmeldung ist eine Continuation-in-Part unserer anhängigen, gewöhnlich zugeordneten, provisorischen Anmeldung, Aktenzeichen Nr. 60/311 050 vom 008.08.2001 mit dem Titel "Dual Frequency Plasma Etch Reaktor with Independent Plasma Density/Chemistry and Ion Energy Control".
  • Erfindungsgebiet
  • Die vorliegende Erfindung bezieht sich allgemein auf Vakuumplasmaprozessoren und insbesondere auf einen Vakuumplasmaprozessor mit einem Plasmaanregungsbereich, der erste, zweite und dritte Elektroden aufweist, die mit hochfrequenten bzw. niederfrequenten Erregerquellen und einer Bezugsspannung verbunden sind, wobei der Anregungsbereich von einer Vakuumkammer begrenzt wird, deren Wand an der Bezugsspannung liegt. Ein weiterer Aspekt der Erfindung betrifft einen Vakuumplasmaprozessor, der ein Blindwiderstandselement sowie eine Elektrode zur entsprechenden Erregung eines Plasmas in dem Vakuumplasmaprozessor mit hoher und niedriger HF-Frequenz aufweist, wobei eine Filteranordnung in den Speiseschaltkreisen für die hohen und niedrigen Frequenzen ermöglicht, daß Strom zwischen der Elektrode und dem Blindwiderstandselement mit der niedrigen Frequenz durch das Plasma fließt, und im wesentlichen verhindert, daß Strom mit der hohen Frequenz von dem Blindwiderstandselement zu der Elektrode fließt.
  • Stand der Technik
  • Vakuumplasmaprozessoren dienen zur Abscheidung von Materialien auf Werkstücken und zum Wegätzen von Materialien von Werkstücken, die gewöhnlich halbleitende, dielektrische und Metallsubstrate sind. In eine Vakuumplasmabehandlungskammer, in der sich das Werkstück befindet, wird ein Gas eingeleitet. Der Kammerdruck liegt gewöhnlich im Bereich von 133 Pascal (Pa) bis 1,333 MPa (0,1 bis 1000 torr). Das Gas wird in einem HF-Plasma aufgrund eines HF-elektrischen oder elektromagnetischen Feldes gezündet. Das HF-Feld ist mit einem Blindwiderstandselement versehen, gewöhnlich entweder eine Elektrodenreihe oder eine Spule, die die magnetischen und elektrostatischen Hochfrequenzfilter mit dem Spule, die die magnetischen und elektrostatischen Hochfrequenzfilter mit dem Gas koppeln. Das Blindwiderstandselement ist mit einer ersten HF-Quelle verbunden, die eine erste relativ hohe HF-Frequenz und ausreichende Leistung aufweist, so daß das Gas in dem Plasma gezüchtet wird. Anschlüsse zwischen der ersten HF-Quelle und dem Blindwiderstandselement werden gewöhnlich durch ein verhältnismäßig langes Kabel hergestellt, das direkt mit der ersten HF-Quelle verbunden ist. Ein erstes resonantes, passendes Netz, das zwischen dem Kabel und dem Blindwiderstandselement geschaltet ist, weist gewöhnlich ein Paar veränderliche Widerstände auf, die so eingestellt sind, daß sie zum Blindwiderstand der ersten HF-Quelle, für die von ihr angetriebene Last passen.
  • Typischerweise wird das Gas in die Kammer durch den Kopf der Kammer eingeleitet und vom Boden der Kammer abgezogen. Üblich für eine Elektrode an dem Kopf der Kammer ist, daß sie einer Prallplatte und Öffnungen in den Zündbereich hinein zugeordnet ist, um für das in den Erregungsbereich einströmende Gas einen Sprühkopfeffekt zu erzeugen.
  • Das Werkstück ist gewöhnlich auf einer Elektrode am Boden eines Plasmaerregungsbereiches in der Kammer angebracht. In einigen Kammern ist das die Elektrode tragende Werkstück das Blindwiderstandselement, das mit der ersten HF-Frequenz versorgt wird, und eine andere Elektrode, die von der das Werkstück tragenden Elektrode mit Abstand getrennt ist, ist mit einer Bezugsspannung verbunden, typischerweise der Erde. Wie in 4 der US provisorischen Anmeldung Nr. 60/311 050 dargestellt, wird bekanntermaßen eine Kammer mit Außenwänden und einem das Plasma umgebenden Bereich innerhalb des Kammerinneren ausgestattet.
  • Bei anderen Kammern ist das reaktive Scheinwiderstandselement der das Werkstück tragenden Elektrode am Boden des Erregungsbereiches mit Abstand getrennt. In einigen dieser anderen Kammern ist, wie in 1 der US provisorischen Anmeldung Nr. 60/311 050 dargestellt, das reaktive Scheinwiderstandselement eine Spule neben dem Kopf des Erregungsbereiches, und die das Werkstück tragende Elektrode ist häufig mit einer zweiten HF-Quelle verbunden, die eine zweite HF-Frequenz aufweist, welche erheblich kleiner ist als die erste HF-Frequenz. Die zweite HF-Frequenz, die häufig als Gleichstromvorspannungsquelle bezeichnet wird, weil sie bewirkt, daß eine Gleichstromspannung über der Elektrode aufgebaut wird, ist gewöhnlich mit der Elektrode durch ein zweites resonantes, passen des Netz verbunden, das ebenfalls ein Paar veränderlicher Blindwiderstände aufweist, die so eingestellt sind, daß sie zu dem Scheinwiderstand der zweiten HF-Quelle für die Last, die sie antreibt, passen. Wenn das reaktive Scheinwiderstandselement eine Spule ist, hat die zweite HF-Quelle typischerweise eine Frequenz im Bereich von 2 MHz. Bei diesen anderen Kammern ist die Spule außerhalb der Kammer gelegen, und das elektromagnetische Feld, das in der Spule erzeugt wird, wird mit dem Gas in einem Erregungsbereich der Kammer durch ein dielektrisches Fenster am Kopf der Kammer gekoppelt.
  • Die erste relativ hohe Frequenz, die über 10 MHz liegt, steuert die Dichte des Plasmas, während die zweite, verhältnismäßig niedrige Frequenz, die geringer ist als 10 MHz, die Energie der Ionen im Plasma steuert. Eine unabhängige Steuerung der Plasmadichte und der Ionenenergie wird durch unabhängiges Steuern elektrischer Parameter, wie Leistung, Spannung und/oder Strom, der ersten und zweiten HF-Quellen erreicht. Diese anderen Vakuumkammern weisen eine Metallwand auf, die gewöhnlich auf einer Bezugsspannung, beispielsweise an Erde, gehalten wird.
  • In noch anderen Kammern, in denen die Elektrode am Kopf des Erregungsbereiches an eine Bezugsspannung angeschlossen ist, liegt die erste relativ hohe HF-Frequenz an der Metallwand, die als reaktives Scheinwiderstandselement wirkt, während die zweite, relativ niedrige HF-Frequenz der das Werkstück tragenden Elektrode zugeführt wird. Wenn die Vakuumkammer eine Metallwand aufweist, begrenzt die Metallwand gewöhnlich das Äußere des Plasmaerregungsbereiches.
  • Bei noch anderen Konfigurationen ist die Kammerwand ein Isolator, und das äußere des Plasmaerregungsbereiches wird durch das Plasma einschließende Jalousien begrenzt, die mit Abstand von der Kammerwand angeordnet sind und an einer Bezugsspannung, beispielsweise der Erde, gehalten werden. Das Gas, das für ein Plasma in dem Erregungsbereich erregt wird, strömt durch die Jalousien in eine Auslaßöffnung am Boden der Kammer. Bei diesen weiteren Konfigurationen ist die Elektrode am Kopf des Erregungsbereiches an die Bezugsspannung angeschlossen.
  • Obgleich die obigen Konstruktionen zur Behandlung von zum Stand der Technik gehörenden Werkstücken angemessen gewesen sind, sind sie möglicherweise zur Bearbeitung von Werkstücken, die weit unter einem Mikrometer liegen, nicht ge eignet, und zwar insbesondere für Halbleiterwafer, die bezüglich der Ätzung und der Abscheidung von Filmen erhöhten Anforderungen unterliegen. Schlüsselkonfigurationsparameter für Plasmaprozessoren, die diesen erhöhten Anforderungen entsprechen, erzeugen das richtige Verhältnis von Ionen und Radikalen zu neutralen Spezies im Plasma und behalten es bei, sowie die richtige Verweilzeit der Ionen, Radikalen und neutralen Spezies im Erregungsbereich über dem Werkstück, und sie steuern die Energie der Ionen, die auf das Substrat auftreffen. Diese Parameter bieten eine weitläufige Prozeßsteuerung, um die richtigen Prozesse für viele Arten von Ätz- und Ablagerungsverfahren zu entwickeln. Dazu kommt, daß die Bearbeitungskosten für jedes Werkstück bei solchen Werkstücken, die große Flächen aufweisen, ein wesentlicher Parameter bei der Prozessorkonstruktion geworden ist. Die mittlere Zeit zwischen der Reinigung des Kammerinneren sowie die Verbrauchskosten, die Kosten für Wartung des Prozessors und zahlreiche andere Faktoren beeinflussen die Kosten für die Bearbeitung eines Werkstücks im Prozessor.
  • Das US-Patent 5 464 499 beschreibt ein Vielelektrodenplasmabehandlungssystem, das eine Begasungskopfanordnung, eine Hochfrequenzspanneinrichtung und eine Gitterelektrode aufweist. Ein perforierter Schirm besteht mit der Basis der Gitterelektrode aus einem Teil und erzeugt von einem Plasma erzeugenden Gas über eine Hochfrequenzleistungsquelle ein Plasma. Der Schirm hat zahlreiche Durchgänge, die das Zusammenwirken von Plasma und Prozeßkammerwänden ermöglichen, und der Schirm umgibt Brausenanordnung und Halbleiterwafer und kann die gesamte Halbleiterwaferplasmabearbeitungsumgebung, einschließlich der Plasmadichte und der Gleichförmigkeit, beeinflussen. Die elektrische Schaltung verbindet den Schirm mit einer Leistungsquelle, um dadurch zu bewirken, daß die Schirmelektrode die Prozeßplasmadichte und Verteilung beeinflußt. Sowohl die Plasmaelektroden, die Brausenanordnung, die Spannvorrichtung als auch die Gitterelektrode, und zwar jeder von den genannten Elementen, kann an eine Niederfrequenzleistungsquelle, eine Hochfrequenzleistungsquelle oder elektrische Erde angeschlossen werden oder kann elektrisch neutral bleiben.
  • Zusammenfassung der Erfindung
  • Gemäß einem Merkmal der vorliegenden Erfindung wird eine Vakuumplasmakammer zur Behandlung eines Werkstücks geschaffen, die folgende Elemente aufweist: eine erste Elektrode zum elektrischen Koppeln mit einem in der Kammer befindlichen Gas sowie zum Anschließen an eine erste relativ hochfrequente HF-Quelle, eine zweite Elektrode für die Aufnahme des Werkstücks sowie zur elektrischen Kopplung mit dem in der Kammer befindlichen Gas und zum Anschließen einer zweiten, relativ niedrigfrequenten HF-Quelle, eine Außenand an einer Bezugsspannung sowie einen Plasmaerregungsbereich zum Einschließen des Plasmas, wobei der Bereich von der Außenwand mit Abstand getrennt ist. Der Plasmaerregungsbereich weist mit Abstand von der Wand getrennte Jalousien auf und ist so angeordnet, daß das Gas durch die erste Elektrode in den Plasmaerregungsbereich einströmt und aus dem Plasmaerregungsbereich zwischen den Jalousien ausströmt, wobei die Konstruktion durch die erste und zweite Oberflächen, die an einer Bezugsspannung liegen, gekennzeichnet ist, von denen die erste Oberfläche zwischen den Jalousien und der Elektrode zum Tragen des Werkstücks angeordnet ist und die zweite Oberfläche zwischen den Jalousien und der ersten Elektrode liegt.
  • Gemäß einem anderen Merkmal der vorliegenden Erfindung wird ein Vakuumplasmaprozessor geschaffen, der die oben erwähnte Vakuumplasmakammer aufweist und darüber hinaus erste und zweite Radiofrequenzquellen, wobei die erste HF-Quelle mit der ersten Elektrode verbunden ist und die zweite HF-Quelle an die zweite Elektrode angeschlossen ist.
  • Die Erregungsbereichsgeometrie für die bevorzugte Ausführungsform ist so gewählt, daß zwischen dem Plasma und den unter Spannung stehenden Elektroden und den ersten und zweiten Oberflächen, die an der Bezugsspannung liegen, unterschiedliche Hüllen entstehen. Die Erregungsbereichsgeometrie der bevorzugten Ausführungsform ist auch so, daß (1) niederfrequenter Strom dazu neigt, in einem größeren Ausmaß zwischen den Elektroden zu fließen als von der durch die Niederfrequenz angetriebene Elektrode zu den Oberflächen, die an der Bezugsspannung liegen und (2) Strom unter Hochfrequenz eine Neigung hat, in einem größeren Ausmaß zwischen der durch die Hochfrequenz angetriebene Elektrode und den Oberflächen des unter der Bezugsspannung stehenden Erregerbereiches zu fließen als zwischen den Elektroden.
  • Die obigen und noch weitere Aufgaben, Merkmale und Vorteile der vorliegenden Erfindung werden nach Betrachtung der folgenden detaillierten Beschreibung einer speziellen Ausführungsform der Erfindung, und zwar insbesondere in Verbindung mit den beigefügten Zeichnungen, verdeutlicht.
  • Kurze Beschreibung der Zeichnung
  • 1 ist eine schematische Darstellung eines Plasmaprozessors gemäß einer bevorzugten Ausführungsform der Erfindung;
  • 2 ist eine Querschnittsansicht einer bevorzugten Ausführungsform der Vakuumplasmaprozessorkammer, die in dem Prozessor von 1 gezeigt ist; und
  • 3 ist ein elektrisches Schaltbild des in 1 gezeigten Plasmaprozessors.
  • Detaillierte Beschreibung der Zeichnung
  • Es wird nunmehr Bezug genommen auf 1, in der ein Plasmaprozessor 10 gezeigt ist, welcher eine Vakuumkammer 12 aufweist, die vorzugsweise eine zylindrische Form hat, so daß die Kammer in Bezug auf die zentrale Längsachse 13 symmetrisch ist. Die Kammer 12 ist mit einer hochelektrisch leitfähigen, zylindrischen, metallenen Seitenwand 14 ausgestattet, die auf einer hochfrequenten Gleichstrombezugsspannung (beispielsweise Erde) gehalten wird, sowie mit einer kreisrunden Decke 16 und einem kreisrunden Boden 18. Die Decke 16 weist eine ringförmige, äußere, hochelektrisch leitfähige Metallplatte 20 auf, die auf ihrer Unterseite einen hochelektrisch leitfähigen Ring 21 trägt. Die Platte 20 und der Ring 21 sind elektrisch und mechanisch mit der Wand 14 so verbunden, daß die Platte 20 und der Ring 21 ebenfalls unter Hochfrequenz und geerdeter Gleichstromspannung stehen. Die Decke 16 ist auch mit einer kreisrunden, metallenen Elektrode 22 (einen plasmaerregenden reaktiven Scheinwiderstandselement) und einem ringförmigen elektrischen Isolator 24 ausgestattet, der die Elektrode 22 von der Platte 20 trennt und elektrisch isoliert. Die Platte 20, der Ring 21, die Elektrode 22 und der Isolator 24 sind koaxial zur Achse 13 angeordnet.
  • Der Boden 18 weist eine ringförmige äußere Metallplatte 26 auf, die elektrisch und mechanisch mit der Wand 14 verbunden ist, so daß die Platte 26 ebenfalls unter Hochfrequenz steht und an der gleichgerichteten Erdspannung liegt. Die Platte 26 trägt ein Metallrohr 28 hoher elektrischer Leitfähigkeit, das einen Außendurchmesser hat, der 80 % des Innendurchmessers der Wand 14 beträgt. Das Rohr 28 ragt in die Kammer 12 hinein und ist mechanisch sowie elektrisch mit der Platte 26 so verbunden, daß der Zylinder ebenfalls unter Hochfrequenz steht und an der geerdeten Gleichstromspannung liegt. Das Rohr 28 trägt einen elektrisch hoch leitfähigen Ring 29, der sich radial in die Kammer 12 hinein erstreckt, so daß der Ring parallel zu der Bodenplatte 26 verläuft und unter Hochfrequenz und geerdeter Gleichstromspannung steht. Die Platte 26 ist außerdem mit einem rohrförmigen elektrischen Isolator 30 ausgestattet, der eine äußere Seitenwand hat, die mit der inneren Seitenwand des Rohres 28 in Berührung steht, sowie eine Oberseite, die die Unterseite des Rings 29 trägt. Die Oberseite des Isolators 30 ist außerdem mit einem elektrischen Isolierring 32 und einer kreisrunden Elektrode 34 ausgestattet, um das Werkstück 36 zu tragen, das vorzugsweise ein Halbleiterwafer ist, jedoch ein dielektrisches oder metallenes Substrat sein kann. Der Ring 32 isoliert elektrisch die Elektrode 34 von dem Ring 29 dadurch, daß der Ring äußere bzw. innere Ränder aufweist, die an dem inneren Rand 29 bzw. dem äußeren Rand der Elektrode 34 anliegen. Die Elektrode 34 wird häufig als ein elektrostatisches Spannfutter angeordnet, das mit einer Gleichstrom Spannfutter Spannungsquelle (nicht gezeigt) verbunden ist. In diesem Fall weist die Elektrode 34 eine Anordnung (nicht gezeigt) zum Kühlen des Werkstücks 36 auf, während das Werkstück durch das Plasma in der Kammer 12 bearbeitet wird. Platte 26, Rohr 28, Ring 29, Isolator 30, Ring 32 und Elektrode 34 liegen zur Achse 13 koaxial. Wenn das Werkstück 36 auf der Elektrode 34 richtig plaziert ist, so liegt das Werkstück ebenfalls koaxial zur Achse 13.
  • Das Prozeßgas wird von einer geeigneten Quelle (nicht gezeigt) in den Plasmaerregungsbereich 38 durch die Elektrode 22 eingeleitet, die eine Reihe Prallplatten und Öffnungen aufweist, so daß das Prozeßgas in den Bereich 38 mit einem Duschkopfeffekt einströmt. In dem Plasmaerregungsbereich 38 wird das Prozeßgas in Plasma umgewandelt, das das Werkstück 36 in Abhängigkeit von den elektrischen Feldelektroden 22 und 34 behandelt, die mit dem Erregungsbereich unter relativ hohen bzw. niedrigen Frequenzen gekoppelt sind. Bei der bevorzugten Ausführungsform betragen die hohen und niedrigen Frequenzen 27 MHz bzw. 2 MHz. Die Strömstärke, die bei hoher Frequenz der Elektrode 22 zugeführt wird, steuert die Dichte des Plasmas im Erregungsbereich 38, während die Stromstärke, die der Elektrode 34 bei niedriger Frequenz zugeführt wird, die Ionenenergie im Plasma steuert. Obgleich die niedrigen und hohen Frequenzen vorzugsweise 2 MHz bzw. 27 MHz betragen, versteht es sich, daß andere geeignete niedrige und hohe Frequenzen, die die Ionenenergie im Plasma bzw. die Plasmadichte steuern, verwendet werden können. Die Steuerung des hochfrequenten und niedrigfrequenten Stroms, der den Elektroden 22 und 34 zugeführt wird, bewirkt eine gleichmäßige Herstellung von Ätzmustern im Plasma über dem Werkstück 36, was zu einem gleichmäßigen Ätzen des gesamten Werkstücks führt.
  • Der Plasmaerregungsbereich 38, der koaxial zur Achse 13 liegt, ist von der Seitenwand 14 mittels des Bereiches, der die Jalousieanordnung 40 aufweist, getrennt. Bei einer Ausführungsform sind die Jalousien der Anordnung 40 für Gleichstrom und HF geerdet und weisen drei senkrecht übereinander gesetzte, elektrisch geerdete Ringe 4143 auf, die eine hohe elektrische Leitfähigkeit besitzen. Die geerdeten Ringe 4143 sowie die Ring 21 und 29 sind vorzugsweise aus Siliziumcarbid gefertigt, das gedopt ist, um eine hohe elektrische Leitfähigkeit von etwa 2 × 10–3 Ohm-Zentimeter zu erzeugen und in die Lage versetzt zu werden, der rauhen Umgebung des Plasmas im Bereich 38 zu widerstehen. Die geerdeten Ring 4143 können auch aus anderen Materialien gefertigt werden, die eine hohe elektrische Leitfähigkeit aufweisen, beispielsweise Aluminium oder Graphit. Die geerdeten Ring 4143 sind elektrisch und mechanisch mit der geerdeten Metallplatte 20 verbunden. Die geerdeten Ringe 4143, die koaxial zur Achse 13 liegen und in Bezug aufeinander fest angeordnet sind, weisen miteinander fluchtende Innenränder auf, die auch mit dem Innendurchmesser der sich senkrecht erstreckenden Wand des Rohres 28 ausgerichtet sind, die an der Außenwand des Isolators 30 anstößt. Die Ringe 4143 sind mit fluchtenden Außenrändern versehen, die auch mit dem Außendurchmesser der äußeren, sich senkrecht erstreckenden Wand des Rohres 28 ausgerichtet sind.
  • Die elektrische Erdung der Ringe 4143 hilft mit, das Plasma auf den Bereich 38 innerhalb der Jalousien zu begrenzen. Die mechanische Beziehung der Ringe 4143 unterstützt ebenfalls die Begrenzung des Plasmas, während dem Gas ermöglicht wird, das nicht länger ionisiert wird oder das nicht im Bereich 38 ionisiert worden ist, in einer in etwa waagerechten Richtung durch die Öffnungen zwischen den Ringen hindurch zu strömen. Das Gas strömt durch den Raum zwischen den Ringen 4143 in den ringförmigen Kanal 48 hinein, der koaxial zu der Achse 13 ausgebildet ist und Innen- bzw. Außenwände aufweist, die von der Außenwand des Rohres 28 bzw. dem Raum innerhalb der Wand 14 begrenzt werden. Somit kann der Bereich 38 als Plasmaeinschlußbereich betrachtet werden, der von der Kammerwand 14 beabstandet ist, auf die im Grunde genommen kein Plasma aus dem Bereich 38 auftrifft. Der Boden des Kanals 48 ist durch die Öffnung 49 in der Platte 26 mit einer Leitung (nicht gezeigt) verbunden, die ihrerseits mit einer Pumpe (nicht gezeigt) in Verbindung steht, welche das Gas aus dem Inneren der Kammer 12 saugt und das Kammerinnere außerhalb des Bereiches 38 unter einem Unterdruck hält, der typischerweise einen Wert unter 66,65 Pascal (Pa) (50 Millitorr) aufweist und vorzugsweise etwa 6,665 bis 13,33 Pa (5 bis 10 Millitorr). Der Druck im Bereich 38 ist gewöhnlich beträchtlich höher, d. h. 26,66 bis 666,5 Pa (20 bis 500 Millitorr) und zwar aufgrund des relativ hohen Strömungswiderstandes, den die Jalousieanordnung 40 erzeugt. Bei einer Ausführungsform ist der Abstand zwischen benachbarten Paaren geerdeter Jalousien 4143 6,35 mm (0,25 Inch).
  • Es ist nicht in allen Fällen notwendig, daß die Jalousien 4143 hochleitend und geerdet sind, d. h. so geschaffen sind, daß sie eine elektrische Plasmaabsperrung bilden. Eine adäquate Absperrung des Plasmas für den Bereich 38 wird durch eine mechanische Anordnung geschaffen, zu der mehrere (vorzugsweise drei) dielektrische (d. h. gering elektrische Leitfähigkeit), elektrisch ungeerdete Jalousieringe gehören, die beispielsweise aus Quarz oder SiC niedriger Leitfähigkeit bestehen. Zur Erzeugung des Plasmaabsperreffektes müssen derartige Jalousieringe voneinander mit Abstand um einen Betrag getrennt sein, der einen ausreichend starken Fließwiderstand für den Gasstrom aus dem Bereich 38 zum Kammerbereich zwischen Jalousieanordnung 40 und Kammerwand 14 ermöglicht. Zur Unterstützung der Schaffung der gewünschten Plasmaabsperrung für unterschiedliche Druckbedingungen zwischen dem Bereich 38 und dem Rest der Kammer, d. h. von innerhalb des Bereiches 38 nach außerhalb des Bereiches 38, ist der Abstand zwischen benachbarten Paaren der drei dielektrischen Jalousieringe variabel. Es wurde festgestellt, daß für Drücke im Bereich 38 zwischen 266,6 und 666,5 Pa (200 und 500 Millitorr) und Drücke außerhalb des Bereiches 38 zwischen 6,665 und 13,33 Pa (5 und 10 Millitorr) der Abstand zwischen benachbarten Paaren von drei ungeerdeten Jalousieringen zwischen etwa 0,762 und 3,175 mm (0,030 und 0,125 Inch) betragen sollte. Derartige Abstände bei den angegebenen Drücken führen zu einer angemessenen Plasmaabsperrung für den Bereich 38 und verhindern im wesentlichen, daß Plasma auf die Wand 14 trifft. Der veränderliche Abstand zwischen den Jalousien, der sich durch herkömmliche mechanische Mechanismen erreichen läßt, unterstützt die Bestimmung des Gasdruckes im Bereich 38, so daß die Einstellung des Abstandes den Absperrbereichdruck und den Grad der Plasmaabsperrung steuert.
  • Der Plasmaerregungsbereich 38 wird von den Elektroden 22 und 34, den leitenden Ringen 21 und 29 und den Isolierringen 24 und 32 sowie den Jalousieringen 4143 begrenzt. Der Plasmaerregungsbereich 38 wird durch eine Hülle aus neutralen Teilchen begrenzt, die zwischen dem Plasma eine Kapazität bilden, welche im allgemeinen als eine auf den Elektroden 22 und 34 und den die Umgrenzung des Bereiches 38 bildenden Oberflächen liegende Widerstandsbelastung anzusehen ist. Eine Gleichstromvorspannung über der Hülle wird hauptsächlich durch die Stärke des niederfrequenten Stroms gesteuert, der der Elektrode 34 zugeführt wird.
  • Bei der bevorzugten Ausführungsform haben die geerdeten Elektroden, die die Oberfläche des Erregungsbereiches 38 begrenzen, eine Fläche, die erheblich größer ist als die Fläche der an das Netz angeschlossenen Elektroden, die die Oberfläche des Erregungsbereiches begrenzen. Vorzugsweise ist das Flächenverhältnis der am letzten liegenden Elektroden zu den geerdeten Elektroden etwa 1,2:2,5. Mit anderen Worten, die Summe der Flächen der geerdeten Ringe 20 und 29, die die Oberfläche des Erregungsbereiches 38 begrenzen, ist etwa zweimal so groß wie die einzelne Fläche jeder am Netz liegenden Elektrode 22 und 34, die die Oberfläche des Erregungsbereiches 38 begrenzen. Es wurde gefunden, daß dieses Flächenverhältnis das Abkoppeln der hochfrequenten HF-Spannung, die der Elektrode 22 zugeführt wird, von der Elektrode 34 unterstützt. Dazu kommt, daß das genannte Flächenverhältnis mithilft, die hohe Gleichstromvorspannung über der Elektrode 34 zu entwickeln. Die Gleichstromvorspannung über jeder Elektrode ist eine Funktion des Verhältnisses der Hüllenkapazität über dieser Elektrode und der Rückführelektrode. Für 2 MHz Leistung weist die Rückführelektrode die unter Strom stehende Elektrode 22 auf sowie die geerdeten Ring 20 und 29; für 27 MHz Leistung von der Elektrode 22 ist die Rückführelektrode hauptsächlich mit den geerdeten Ringen 20 und 29 versehen, weil das Bandfilter 64 (unten beschrieben) die Kopplung von 27 MHz Leistung mit der Elektrode 34 im wesentlichen verhindert. Die an der Elektrode 34 liegende Gleichstromvorspannung ist eine Funktion der Hüllenkapazität über der Elektrode 34 und der Summe der Hüllenkapazitäten über der Elektrode 22 und über den Erdungsringen 20 und 29.
  • Die Gleichstromvorspannung und damit die zu der Elektrode 34 gehörende Hüllenkapazität können aktiv durch Verwendung einer Gleichstromspannungssonde (nicht gezeigt) zur Überwachung des Gleichstroms zwischen der Elektrode 34 und dem Plasma gesteuert werden. Eine derartige Gleichstromspannungssonde entwickelt ein Signal zur Steuerung der 2 MHz Leistung, die der Elektrode 34 zugeführt wird, so daß eine relativ hohe Vorspannung auf allen Oberflächen innerhalb des Plasmaerregungsbereiches aufrechterhalten wird. Durch Optimierung des geeigneten Flächenverhältnisses unter den Elektroden 22, 34 und dem Erdungsring 20 und 29 läßt sich eine verhältnismäßig hohe Vorspannung auf dem Plasmaerregungsbereichoberflächen beibehalten, die das Entfernen von Polymer unterstützt, welches dazu neigt, sich während der Werkstückbearbeitung auf den Kammeroberflächen niederzuschlagen. Zur Entfernung des Polymers oder Polymerisats läuft der Prozessor 10 in einer Reinigungsbetriebsart, um dadurch die mittlere Zeit zwischen den Reinigungen stark zu verlängern und damit einen größeren Werkstückbearbeitungsdurchsatz zu erreichen.
  • Die Größe des Plasmaerregungsbereiches 38 ist relativ klein, was zu Vorteilen bezüglich der Wartung, den Kosten von verbrauchbaren Oberflächen des Prozessors 10 führt und damit der Gesamtkosten des Prozessors. Bei einer bevorzugten Ausführungsform sind die Radien der Elektroden 22 und 34 10,5 cm bzw. 9,6 cm, und der Radius des Bereiches 40 zwischen der Mittellinie 13 und dem Innenrand der Ringe 4143 beträgt 13,45 cm, während der äußere Radius jedes der Isolierringe 22 und 34 11,4 cm groß ist. Der Abstand zwischen der Bodenfläche der Elektrode 22 und der Kopffläche der Elektrode 34 hat einen nominalen Wert von 1,8 cm. Der Abstand zwischen diesen Flächen ist variabel, und zwar in einer unten beschriebenen Weise, über einen begrenzten Bereich, um dadurch eine zusätzliche Steuerung bezüglich des Koppelns der 2 MHz- Erregung von der Elektrode 34 zur Elektrode 22 zu erhalten. Es wurde festgestellt, daß die oben genannten Abmessungen auch hilfreich dafür sind, eine hohe Gleichstromvorspannung über der Elektrode 24 zu erzeugen und dafür, eine Entkupplung des 27 MHz HF-Stroms, der der Elektrode 22 zugeführt wird, von der Elektrode 34 zu erreichen.
  • Die Schaltung 50 bzw. 52 erregen die Elektroden 22 und 34 mit 27 MHz bzw. 2 MHz. Die Schaltung 50 weist eine 27 MHz Quelle 54 auf, die ausreichenden Strom liefert, um die Elektrode 22 zu erregen und damit das Gas im Bereich 28 in einem Plasmazustand zu erregen und die gewünschte Dichte für das Plasma zu erzeugen. Die Schaltung 52 weist eine 2 MHz Quelle 60 auf die ausreichenden Strom liefert, um die Elektrode 34 zu erregen und dadurch über der Elektrode 34 eine erhebliche Gleichstromvorspannung zu erzeugen sowie die gewünschte Ionenenergie in dem Plasma im Erregungsbereich 38.
  • Die HF-Quelle 54 treibt ein Anpassungsnetz 56 an, einschließlich variabler Blindwiderstände (nicht gezeigt), die in bekannter Weise so gesteuert werden, daß zwischen dem Ausgangsscheinwiderstand der Quelle 54 und der Last, die sie antreibt, einschließlich der Elektrode 22 und des Plasmas im Bereich 38, eine Scheinwiderstandsanpassung beibehalten wird. Das Bandfilter 58 ist zwischen die Ausgangsklemme des Anpassungsnetzes 56 und die Elektrode 22 geschaltet. Das Filter 58 hat ein Paßband, das so angeordnet ist, daß der 27 MHz- Ausgang der Quelle 54 zur Elektrode 22 laufen kann, während für den 2 MHz Strom, den die Quelle 60 an die Elektrode 34 liefert, und der durch das Plasma im Bereich 38 zur Elektrode 22 strömt, ein geerdeter geringer Scheinwiderstandsweg geschaffen wird.
  • Das Filter 58 kann so geschaffen sein, daß es einen Zweig aufweist, der im Nebenschluß zwischen der Ausgangsklemme des Anpassungsnetzes 56 und der Erde geschaltet ist, wobei der Zweig eine Reihenresonanzschaltung aufweist, die auf die 2 MHz- Frequenz der Quelle 60 abgestimmt ist. Der Zweig des Filters 58 kann eine einfache abgestimmte Schaltung in Form einer Induktivität aufweisen, die mit einem Kondensator in Reihe geschaltet ist. Der 27 MHz Ausgang der Spule 54, der mit der Ausgangsklemme des Anpassungsnetzes 56 gekoppelt ist, wird durch das Filter 58 nicht beeinflußt und ist mit der Elektrode 22 gekoppelt, während der 2 MHz- Strom, der die Quelle 60 die Elektrode 34 speist, durch das Filter 58 zur Erde fließt. Dadurch wird die 27 MHz Quelle 54 durch das Filter 58 gegen die 2 MHz Erregung der Elektrode 34 geschützt, und Strom fließt bei der 2 MHz Frequenz vorteilhafterweise in dem Plasma über dem Spalt zwischen den entgegengesetzten Flächen der Elektroden 22 und 34.
  • Die 2 MHz- Quelle 60 der Schaltung 52 treibt das Anpassungsnetz 62 an, einschließlich variabler Blindwiderstände (nicht gezeigt), die in einer bekannten Weise gesteuert werden, um zwischen dem Ausgang des Scheinwiderstandes der Quelle 60 und der Last, der sie antreibt, einschließlich der Elektrode 34 und dem Plasma im Bereich 38 eine Blindwiderstandanpassung aufrecht zu erhalten. Das Bandfilter 64 ist zwischen die Ausgangsklemme des Anpassungsnetzes 62 und die Elektrode 34 geschaltet. Das Filter 64 hat einen Durchlaßbereich, der den 2 MHz Ausgang der Quelle 60 zur Elektrode 34 durchläßt, während für den 27 MHz Strom, dessen Quelle 54 die Elektrode 22 versorgt, ein hoher Scheinwiderstandsweg geschaffen wird.
  • Das Filter 64 kann als Zweig gestaltet sein, der zwischen der Ausgangsklemme des Anpassungsnetzes 62 und der Elektrode 34 in Reihe geschaltet ist, während der Zweig einen parallelen Resonanzkreis aufweist, der auf die 27 MHz Frequenz der Quelle 54 abgestimmt ist. Der Zweig des Filters 64 kann eine einfache, abgestimmte Schaltung in Form einer Induktivität aufweisen, die mit einem Kondensator parallel liegt. Der 2 MHz Ausgang der Quelle 60, der mit der Ausgangsklemme des Anpassungsnetzes 62 gekoppelt ist, wird durch das Filter 64 nicht beeinträchtigt und steht mit der Elektrode 34 in Verbindung, jedoch blockiert das Filter 64 den 27 MHz- Strom, den die Quelle 54 der Elektrode 22 zuführt. Dadurch wird die 2 MHz Quelle 60 durch das Filter 64 gegen die 27 MHz Erregung der Elektrode 22 durch das Filter 64 geschützt und vorteilhafterweise wird verhindert, daß bei der 27 MHz Frequenz ein beträchtlicher Strom im Plasma vollständig über den Spalt fließt, der zwischen den gegenüberliegenden Flächen der Elektroden 22 und 34 vorhanden ist.
  • Unter anderem wegen des Filters 64 ist der Strom bei der 27 MHz Frequenz auf den Teilen des Plasmas im Bereich 38 beschränkt, der neben der Elektrode 22 liegt. Die 27 MHz- Erregung dringt im allgemeinen nicht über den Spalt zum Plasma neben der Elektrode 34 und dem Werkstück 36. Durch Begrenzung des hochfrequenten 27 MHz Stroms auf den Teil des Plasmas im Bereich 38 der Elektrode läßt sich eine bessere Steuerung der Plasmadichte erhalten. Obgleich spezielle Bandpaßfilter (BPF) 58 und 64 beschrieben worden sind, versteht es sich, daß auch andere Filterkonfigurationen dieselben oder im wesentlichen dieselben Ergebnisse erbringen.
  • Es wird nunmehr auf 2 der Zeichnung Bezug genommen, in der eine detaillierte Querschnittsansicht einer bevorzugten Ausführungsform der Kammer 12 dargestellt ist. Die zylindrische Wand 14 weist metallene, geerdete Zylinder 70 und 72 auf, die sich über bzw. unter dem Plasmaerregungsbereich 38 befinden und elektrisch sowie mechanisch durch den Metallring 74 miteinander verbunden sind, der eine gläserne Beobachtungsöffnung 76 aufweist, die im allgemeinen zum Bereich 38 ausgerichtet ist. Der Zylinder 70 hat eine Innenwand, die mit einer Außenwand des Metallbalges 82 verbunden ist, welcher eine ringförmige Gestalt hat, so daß sich der Balg koaxial zur Achse 13 erstreckt. Die Innenwand des Balges 82 ist mit der Metallhülse 84 verbunden, die koaxial zur Achse 13 liegt. Der Balg 82 hat eine Oberseite, die mit einem senkrecht translatorisch arbeitenden Antrieb (nicht ge zeigt) zum Aufwärts- und Abwärtstreiben des Balges verbunden ist, um dadurch die Hülse 84 senkrecht in Bezug auf die fixe Seitenwand 14 einschließlich der Zylinder 70 und 72 sowie des Ringes 76 zu bewegen. Die Relativbewegung zwischen der Hülse 84 und der Seitenwand 14 bewirkt, daß sich der Spalt im Erregungsbereich 38 zwischen den Elektroden 22 und 34 in gewünschter Weise verändert.
  • Die Hülse 84 hat einen unteren Rand, der mit einer Oberseite des metallenen, vorzugsweise aus Aluminium bestehenden Rings 86 fest verbunden ist, dessen Unterseite mit einem Teil der Oberseite des aus Siliziumcarbid hoher elektrischer Leitfähigkeit bestehenden Rings 21 in fester Verbindung steht. Der Metallzapfen 46, der die Siliziumcarbidringe 4143 trägt und vorzugsweise wie eine Schraube ausgebildet ist, ist in eine Gewindebohrung eingeschraubt, die den Ringen 21 und 86 gemeinsam ist, um dadurch die Ringe 4143 am Umfang des Bereiches 38 fest an Ort und Stelle zu halten. Der elektrische Isolierring 88, der vorzugsweise aus Aluminiumtrioxid (Al2O3) besteht, ist zwischen der elektrisch geerdeten Hülse 84 und der Metallplatte 85 der unter Strom stehenden Elektrode 22 angeordnet, so daß die Hülse 84 und die Elektrode 22 elektrisch voneinander isoliert sind. Der Ring 88 weist eine Schulter 90 auf, die mit entgegengesetzten Oberflächen versehen ist, welche mit der Platte 85 und der Hülse 84 fest verbunden sind. Der Ring 88 hat eine Innenwand, die an der Innenwand des hoch dielektrischen Rings 91 anstößt, welcher eine innere Oberfläche hat, die eine Außenwand für eine Kammer der Drucksonde 92 bildet. Die Innenwand der Kammer für die Drucksonde 92 wird von der Innenwand des Isolierrings 34 gebildet, die vorzugsweise aus Aluminiumtrioxid besteht. Der Durchgang 94 in der Platte 85 verbindet die Drucksonde 92, so daß zum Fittung 94 oben auf der Platte 85 eine Fluidströmung stattfinden kann.
  • Der Ring 24 weist ein abwärts gerichtetes Anhängsel mit einer Unterseite auf, die sich in die Erregungskammer 38 hinein erstreckt, und zwar etwas unterhalb der fluchtenden Bodenflächen des Siliziumcarbidrings 21 und der kreisrunden Siliziumplatte 98, die den Teil der Elektrode auf der oberen Begrenzung der Erregungskammer 38 bildet. Eine derartige Anordnung unterstützt die Schaffung der elektrischen Isolierung zwischen dem Ring 21 und der Platte 98. Das Anhängsel 96 hat innere und äußere Wände, die an dem Außenrand der Platte 98 bzw. dem Innenrand des Rings 21 anliegen. Der Ring 24 ist mit inneren, sich waagerecht und senkrecht erstreckenden Oberflächen versehen, welche an den entsprechenden Oberflächen des Graphitrings 100 anliegen, der einen unteren Oberflächen des Graphitrings 100 anliegen, der einen unteren Rand hat, welcher mit der Siliziumplatte 98 verbunden ist.
  • Die Elektrode 22 weist zusätzlich zu der Aluminiumplatte 85 am Kopf der Elektrode und der Siliziumplatte 98 am Boden der Elektrode mit Abstand getrennte Wallplatten 101103 auf, die zwischen den Platten 85 und 98 angeordnet sind, um einen Fluidströmungsweg für Gas zu schaffen, das für das Plasma im Bereich 38 erregt werden soll. Das Gas wird durch das Fitting 106 auf der Oberseite der Aluminiumplatte 85 eingeleitet, die einen Kanal (nicht gezeigt) für die Gaszufuhr zu den Prallplatten aufweist. Die Platte 98 ist mit Öffnungen (nicht gezeigt) für das Gas versehen, das durch die Prallplatten 101103 strömt, um einen Duschkopfeffekt für das in den Erregungsbereich 38 strömende Gas zu erzeugen. Die Oberseite der Aluminiumplatte 85 ist mechanisch und elektrisch mit dem elektrischen Anschluß 108 verbunden, der seinerseits an eine Zuleitung angeschlossen ist, um auf den 27 MHz Strom anzusprechen, den die Quelle 54 erzeugt und der durch das Anpassungsnetz 56 strömt. Die Oberseite der Platte 85 weist einen Kanal 109 auf, der mit einer Quelle kühlenden Fluids (nicht gezeigt) zur Steuerung der Temperatur der Elektrode 22 verbunden ist.
  • Das geerdete Metallrohr 28 der unteren Anordnung weist einen Aluminiumring 110 auf, der mit einer Oberseite versehen ist, die elektrisch und mechanisch mit der Unterseite des Siliziumcarbidrings 29 verbunden ist, und eine Unterseite ist durch mehrere Metallblöcke 112 elektrisch und mechanisch an die Außenseitenwand des Aluminiumrohres 114 angeschlossen. Die Blöcke 112 weisen um die Außenseitenwand des Rohres 114 im Kanal 48 gleiche Abstände auf, so daß die Blöcke auf den Gasstrom im Kanal 48 von dem Erregungsbereich 38 zu den Öffnungen in der metallenen Grundplatte 18 keine wesentliche Wirkung haben. Die Platte 18 ist mechanisch und elektrisch mit den unteren Rändern der Rohre 72 und 114 verbunden. Dadurch befinden sich der Ring 110 und das Rohr 114, die gemeinsam das Rohr 28 von 1 bilden, an derselben HF und demselben Gleichstromerdpotential wie das Rohr 72 und die Wand 14.
  • Die elektrische, isolierende Anordnung 115 ist mit einem Quarzring 116 und einem Aluminiumtrioxidring 118 ausgestattet, der elektrisch den Metallring 118 und das Metallrohr 114 von der Elektrode 34 isoliert. Der Isolierring 116 hat eine Oberseite, die neben der jedoch geringfügig beabstandet von der Unterseite des Siliziumcarbidrings 29 und einer Außenwand ist, welche neben der Innenwand des Rings 110, jedoch geringfügig beabstandet von dieser angeordnet ist. Der untere Teil des Rings 116 ist mit einer Schulter versehen, die an der Oberseite des Rings 118 anliegt. Der Ring 116 weist außerdem einen nach unten ragenden Flansch auf, der zwischen der Außenwand des Rings 118 und dem unteren Teil der Innenwand des Rings 110 angeordnet ist. Der Ring 118 hat eine Unterseite, die an dem oberen Rand des Metallrohres 114 anliegt, welches mit einer Innenwand versehen ist, die an der Außenwand der elektrisch isolierenden Aluminiumtrioxidhülse 120 anstößt, die wiederum an dem Boden der kreisrunden Aluminiumplatte 122 durch mehrere Schrauben 125 (nur eine von ihnen ist dargestellt) befestigt ist.
  • Der Quarzring 116 hat eine Innenwand, die neben den, jedoch mit geringfügigem Abstand von den Umfängen der übereinander gestapelten, kreisrunden Aluminiumplatten 122 und 124 liegen, die die Elektrode 34 bilden und elektrisch sowie mechanisch miteinander verbunden sind. Der elektrische Anschluß 126 ist mechanisch und elektrisch mit der Unterseite der Platte 122 sowie mit einer Leitung verbunden, die den 2 MHz Ausgang der Quelle 60 dem Anschluß zuführt. Der Hohlraum 128 in der Unterseite der Platte 124 weist Hubstifte 130 zum Abheben des Werkstücks 36 von der Oberseite der Platte 124 auf. Die Oberseite der Platte 124 ist außerdem mit einem Hohlraum (nicht gezeigt) ausgestattet, in dem ein Kühlmittel, beispielsweise Helium, strömt, um die Steuerung der Temperatur des Werkstücks 36 während der Bearbeitung zu unterstützen. Die Platte 122 ist mit Nuten (nicht gezeigt) versehen, durch die eine Kühlflüssigkeit strömt, um die Steuerung der Werkstücktemperatur zu unterstützen.
  • Der Isolierring 32, der die Metallplatte 124 der unter Strom stehenden Elektrode 34 von dem geerdeten Siliziumcarbidring 29 elektrisch isoliert, weist einen Quarzring 132 auf, der mit einer Oberseite versehen ist, an der der relativ dünne Quarzring 134 befestigt ist. Der Quarzring 132 paßt in ein ringförmiges Volumen zwischen den äußeren senkrechten und waagerechten Oberflächen der Platte 124 und eines Oberseitenwandteils des Quarzrings 116. Der Ring 132 ist mit den äußeren und waagerechten Oberfächen der Platte 124 verbunden und weist von dem Oberseitenwandteil des Quarzrings 116 einen geringfügigen Abstand auf. Die Oberseite des Rings 134 erstreckt sich in die Kammer 38 geringfügig über die fluchtenden Oberseiten des Siliziumcarbidrings 29 und der Platte 124 der Elektrode 34, um dadurch zwischen dem Ring 29 und der Elektrode 34 die elektrische Isolierung zu verbessern.
  • Es versteht sich, daß die in 2 gezeigte Prozessorkammer mit üblichen Dichtungen und anderen Elementen versehen ist, die zur Abdichtung des Kammerinneren notwendig sind, um das erforderliche Vakuum für die Werkstückbearbeitung zu erhalten. Die Abstände zwischen den verschiedenen Teilen werden aufgrund der unterschiedlichen Wärmeausdehnungskoeffizienten dieser Teile und der erhöhten Temperaturen vorgesehen, die in der Kammer während der Werkstückbearbeitung auftreten, und zwar im Vergleich zu der Temperatur der Kammer während Intervallen, in denen keine Verarbeitung stattfindet.
  • Es wird nunmehr auf 3 in der Zeichnung Bezug genommen, wo ein schematisches, elektrisches, äquivalentes Schaltkreisdiagramm des in 1 gezeigten Prozessors und der in 2 gezeigten Kammer zu sehen sind. Der Prozessor von 1 kann als Dualfrequenztriode betrachtet werden, weil der Erregungsbereich 38 eine geerdete und zwei mit verschiedenen HF-Frequenzen beaufschlagte, unter Spannung stehende Elektroden aufweist.
  • Da das erregte Plasma im Bereich 38 als relativ geringer Widerstand betrachtet werden kann, ist das Plasma in 3 als Anschlußklemme 140 dargestellt. Die Schaltung 50 weist die Hochfrequenz HF-Quelle 54, das Anpassungsnetz 56 und das Nebenschlußbandpaßfilter 58 auf, welches mit einer Induktivität und einem Kondensator 144 versehen ist, die zwischen der Ausgangsklemme des Anpassungsnetzes 56 und der Erde miteinander in Reihe geschaltet sind. Die Induktivität 142 und der Kondensator 144 weisen Werte auf, die so geartet sind, daß sie für den 2 MHz Strom, den die Quelle 60 liefert, eine Reihenresonanzschaltung bilden. Die Schaltung 52 weist eine relativ niedrige Frequenz HF-Quelle 60 auf sowie das Anpassungsnetz 62 und das Reihenbandpaßfilter 64 mit einer Induktivität 146 und einem Kondensator 148, die zwischen der Ausgangsklemme des Anpassungsnetzes 56 und der Elektrode 34 miteinander parallel geschaltet sind. Die Induktivität 146 und der Kondensator 148 haben Werte, die so geartet sind, daß sie für den 27 MHz Strom, den die Quelle 54 liefert, eine parallele Resonanzschaltung bilden.
  • Die obere Elektrode 22 kann als Kondensator 150 betrachtet werden, der mit dem Widerstand 152 parallel liegt, während die untere Elektrode 34 als Kondensator 154 anzusehen ist. Die Plasmahülle zwischen der Elektrode 22 und dem Plasma 140 wird durch die parallele Kombination von Widerstand 156, Kondensator 158 und Diode 160 gebildet, die so gepolt ist, daß positiver Strom von der Quelle 54 zum Plasma 140 läuft, so daß das Plasma unter einer Gleichstromspannung steht, die geringer ist als die Gleichstromspannung der Elektrode 22. Die Plasmahülle zwischen der Elektrode 34 und dem Plasma 140 wird durch die parallele Kombination von Widerstand 162, Kondensator 164 und Diode 166 dargestellt, die so gepolt ist, daß positiver Strom von der Quelle 60 zum Plasma 140 fließt, so daß das Plasma unter einer Gleichstromspannung steht, die geringer ist als die Gleichstromspannung der Elektrode 34. Die Plasmahülle zwischen dem Plasma 140 und den geerdeten Oberflächen, die u. a. die Ringe 21, 29, 4143 und 110 aufweist, wird die parallele Kombination von Widerstand 168, Kondensator 170 und einer Diode 172 dargestellt, die so gepolt ist, daß negativer Strom vom Plasma 140 zu den geerdeten Oberflächen (dargestellt durch Klemme 174) fließen kann, so daß das Plasma unter einer Gleichstromspannung steht, die höher ist als die Gleichstromspannung der geerdeten Oberflächen.
  • Aus 3 geht hervor, daß der hochfrequente Strom von Quelle 54 durch die obere Elektrode 22 fließt, die obere Hülle, die durch den Widerstand 156 dargestellt wird, den Kondensator 158 und die Diode 160 zum Plasma 140. Aufgrund der hohen Scheinwiderstandswirkungen des Bandpaßfilters 64 auf den hochfrequenten Strom, wird der hochfrequente Strom im wesentlichen daran gehindert, in den Schaltkreis 52 zu fließen und fließt vom Plasma 140 mit Hilfe der Hülle, die den Widerstand 168, den Kondensator 170 und die Diode 172 aufweist, zur Erdungsklemme 174. Die Geometrie der Kammer 12 verstärkt, wie oben beschrieben, diesen Stromfluß von der Quelle 54 zum Plasma 140 und damit zur Erdungsklemme 174. Somit läßt sich eine präzise Steuerung der Dichte des Plasmas im Erregungsbereich 38 durch präzise Steuerung der Leistung der RF Quelle 54 erreichen.
  • Der niedrigfrequente Strom von der Quelle 70 fließt durch die untere Elektrode 34, von dort hauptsächlich zu der oberen Elektrode 22 durch die untere Hülle, zu der der Widerstand 162, der Kondensator 164 und die Diode 166 sowie das Plasma 140 gehören und die obere Hülle, zu der der Widerstand 156, der Kondensator 158 und die Diode 160 gehören. Wegen der geringen Scheinwiderstandswirkungen des Bandpaßfilters 58 auf den niederfrequenten Storm fließt der niederfrequente Strom rasch vom Plasma 140 durch das Filter 58 zur Erde. Die Geometrie der Kammer 12 hilft mit, wie oben beschrieben, zu verhindern, daß ein wesentlicher Fluß des niederfrequenten Stroms von der Quelle 60 zur Erdungsklemme 174 über die Erdungshülle stattfindet, zu der der Widerstand 168, der Kondensator 170 und die Diode 172 gehören. Somit läßt sich eine genaue Kontrolle der Ionen energie im Plasma im Bereich 38 durch genaue Kontrolle der Leistung der RF-Quelle 60 erreichen.
  • Durch präzise Steuerung bzw. Kontrolle der Dichte des Plasmas und der Ionenenergie im Bereich 38 wird das richtige Verhältnis von Ionen und Radikalen zu neutralen Arten im Plasma einstellen und aufrecht erhalten. Demzufolge wird die genaue Kontrolle der Verweilzeit der Ionen, der Radikalen und der neutralen Arten im Raum über dem Werkstück 36 ermöglicht, sowie der Energie der Ionen, die auf das Werkstück auftreffen. Aufgrund dieser Faktoren ermöglicht der Prozessor 10 eine bessere Steuerung als bekannte Prozessoren für eine große Vielzahl von Ätzprozessen, so beispielsweise HARC, SAC und Low-K.
  • Die Geometrie der Kammer 12 einschließlich des Einschlusses des Plasmas in dem verhältnismäßig kleinen Volumen des Bereiches 38 ist so gewählt, daß auf allen Oberflächen im Bereich 38, wie aus 3 ersichtlich, eine beträchtliche Vorspannung erzeugt wird. Die beträchtliche Vorspannung wird mit Hilfe der Hüllen zwischen dem Plasma 140 und (1) der Elektrode 22, (2) der Elektrode 34 und (3) der geerdeten Oberflächen des Bereiches 38, dargestellt durch die Anschlußklemme 174, geschaffen. Die Vorspannungen und die Hüllen haben die Neigung zu verhindern, daß das Plasma im Erregungsbereich 38 auf die Oberflächen auftrifft, um dadurch (1) die Oberflächen während der Bearbeitung sauber zu halten und damit die Zwischenzeit zwischen den Reinigungsgängen des Kammerinneren zu vergrößern, und (2) den Verbrauch dieser Oberflächen durch das Plasma zu reduzieren, um dadurch die Lebensdauer der Oberflächen zu verlängern.
  • Obgleich eine spezielle Ausführungsform der Erfindung beschrieben und dargestellt worden ist, versteht es sich, daß Abänderungen von Details der speziell dargestellten und beschriebenen Ausführungsformen vorgenommen werden können.
  • So läßt sich beispielsweise der Druck im Bereich 38 mit einem geschlossenen Schieifenregler steuern, der einen Drucksensor in diesem Bereich sowie einen Antrieb aufweist, der auf einen eingestellten Druckwert anspricht, wobei der Drucksensor zur Steuerung des Abstandes zwischen den Jalousien 4143 dient. Somit kann angenommen werden, daß die Kammer eine Wand aufweist, die an einem Bezugspotential (Erde) liegt, und erste und zweite Elektroden aufweist, die sich im Plasmaerregungsbereich 38 befinden, wo das Plasma eingeschlossen ist.
  • Der Bereich 38 besitzt wenigstens einen von der Kammerwand 24 mit Abstand getrennten Ort, der so angeordnet ist, daß er dem Gas ermöglicht, aus dem Bereich über die Jalousien 4143 zum übrigen Teil der Kammer zu strömen. Freiliegende Flächen der oberen und unteren Elektroden 22 und 34 sowie Jalousien 4143 und andere Strukturen bilden Grenzen für den Bereich 38, wo das Plasma eingeschlossen ist.

Claims (13)

  1. Vakuumplasmakammer (12) zur Behandlung eines Werkstücks (36), wobei die Kammer eine erste Elektrode (22) zur elektrischen Kopplung mit einem in der Kammer befindlichen Gas und zum Anschluß an eine erste Hochfrequenz RF-Quelle (54), ferner eine zweite Elektrode (34) zum Tragen des Werkstücks und elektrischen Koppleln mit dem Gas in der Kammer sowie zum Anschluß an eine zweite Niederfrequenz RF-Quelle (60) aufweist, darüber hinaus eine äußere Wand (14), die an einer Bezugsspannung liegt und einen Plasmaerregungsbereich (38) aufweist, der das Plasma begrenzt und der mit Abstand von der äußeren Wand getrennt ist, wobei ferner der Plasmaerregungsbereich mit von der Wand beabstandeten Jalousien versehen und so angeordnet ist, daß das Gas in den Plasmaerregungsbereich hinein durch die erste Elektrode und aus dem Plasmaerregungsbereich heraus zwischen die Jalousien strömt, gekennzeichnet durch eine erste (29) und zweite Oberfläche (21), die an der Bezugsspannung liegen, wobei die erste Oberfläche zwischen den Jalousien und der Elektrode zum Tragen des Werkstücks angeordnet ist und die zweite Oberfläche zwischen den Jalousien und der ersten Elektrode liegt.
  2. Kammer nach Anspruch 1, dadurch gekennzeichnet, daß der Plasmaerregungsbereich von den Elektroden und Jalousien begrenzt wird.
  3. Kammer nach Anspruch 1 oder 2, dadurch gekennzeichnet, daß der Plasmaerregungsbereich in Bezug auf die Kammeraußenwand und einen Mittelpunkt (13) auf der Elektrode zum Tragen des Werkstücks symmetrisch ist.
  4. Kammer nach einem oder mehreren der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß der Erregungsbereich eine solche Geometrie aufweist, daß zwischen dem Plasma in dem Erregungsbereich und zwischen (a) jeder Elektrode zum Tragen des Werkstücks, (b) der ersten Elektrode und den ersten und zweiten Oberflächen der Bezugsspannung unterschiedliche Hüllen bestehen.
  5. Kammer nach Anspruch 4, dadurch gekennzeichnet, daß die Erregungsbereichsgeometrie so gewählt ist, daß der niederfrequente Strom eine Tendenz hat, in einem stärkeren Maß zwischen der Elektrode (34) zum Tragen des Werkstücks und der ersten Elektrode (22) zu fließen als von der Elektrode (34) zum Tragen des Werkstücks zu den Oberflächen (21 und oberes Ende von 29) des an der Bezugsspannung liegenden Erregungsbereiches.
  6. Kammer nach Anspruch 4 oder 5, dadurch gekennzeichnet, daß die Erregungsbereichsgeometrie so geartet ist, daß der hochfrequente Strom eine stärkere Tendenz hat, von der ersten Elektrode (22) zu den Oberflächen (21 und oberes Ende von 29) des unter Bezugsspannung stehenden Erregungsbereichs zu fließen als von der ersten Elektrode (22) zur Elektrode (34), die das Werkstück trägt.
  7. Kammer nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Jalousien eine hohe elektrische Leiffähigkeit aufweisen und an der Bezugsspannung liegen.
  8. Kammer nach einem der vorhergehenden Ansprüche 1 bis 6, dadurch gekennzeichnet, daß die Jalousien eine niedrige elektrische Leiffähigkeit aufweisen und elektrisch schweben und so angeordnet sind, daß sie das Plasma mechanisch begrenzen.
  9. Kammer nach Anspruch 8, dadurch gekennzeichnet, daß der Abstand zwischen benachbarten Jalousiepaaren so gewählt ist, daß die mechanische Begrenzung erreicht wird.
  10. Kammer nach einem oder mehreren der Ansprüche 7 bis 9, dadurch gekennzeichnet, daß der Abstand einstellbar ist.
  11. Kammer nach einem oder mehreren der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Summe der Flächen der ersten und zweiten Oberflächen etwa das Zweifache der Summe der Flächen der ersten und zweiten Elektroden beträgt.
  12. Vakuumplasmaprozessor (10) mit einer Kammer nach einem oder mehreren der Ansprüche 1 bis 11, gekennzeichnet durch erste (54) und zweite (60) RF- Quellen, wobei die erste RF-Quelle mit der ersten Elektrode (22) und die zweite RF-Quelle mit der zweiten Elektrode (34) verbunden ist.
  13. Vakuumplasmaprozessor nach Anspruch 12, gekennzeichnet durch eine Filteranordnung, die mit den ersten (54) und zweiten (60) RF-Quellen und den ersten (22) und zweiten (34) Elektroden verbunden ist, damit (i) Strom von der ersten RF-Quelle zu der ersten Elektrode fließen kann; (ii) Stromfluß der ersten RF-Quelle zur zweiten Elektrode und der zweiten RF-Quelle im wesentlichen verhindert wird; (iii) Strom von der zweiten RF-Quelle zu den ersten und zweiten Elektroden fließen kann; und (iv) ein erheblicher Stromfluß von der zweiten RF-Quelle zu der ersten RF-Quelle verhindert wird.
DE60221535T 2001-08-08 2002-08-08 Zwei-frequenz-plasmaätzreaktor mit unabhängiger kontrolle für dichte, chemie und ionenenergie Expired - Lifetime DE60221535T2 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US31105001P 2001-08-08 2001-08-08
US311050P 2001-08-08
US32279 2001-12-31
US10/032,279 US6984288B2 (en) 2001-08-08 2001-12-31 Plasma processor in plasma confinement region within a vacuum chamber
PCT/US2002/025223 WO2003015123A2 (en) 2001-08-08 2002-08-08 Dual frequency plasma etch reactor with independent plasma density/chemistry and ion energy control

Publications (2)

Publication Number Publication Date
DE60221535D1 DE60221535D1 (de) 2007-09-13
DE60221535T2 true DE60221535T2 (de) 2008-04-17

Family

ID=26708215

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60221535T Expired - Lifetime DE60221535T2 (de) 2001-08-08 2002-08-08 Zwei-frequenz-plasmaätzreaktor mit unabhängiger kontrolle für dichte, chemie und ionenenergie

Country Status (8)

Country Link
US (1) US6984288B2 (de)
EP (1) EP1415322B1 (de)
KR (1) KR100936647B1 (de)
CN (1) CN100401451C (de)
AT (1) ATE368936T1 (de)
DE (1) DE60221535T2 (de)
TW (1) TWI272041B (de)
WO (1) WO2003015123A2 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009014067B4 (de) * 2008-03-21 2014-02-13 Tokyo Electron Limited Plasmabearbeitungsvorrichtung

Families Citing this family (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1127957A1 (de) * 2000-02-24 2001-08-29 Asm Japan K.K. Vorrichtung mit Reinigungsfunktion zur Herstellung von Filmen
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
US6926803B2 (en) * 2002-04-17 2005-08-09 Lam Research Corporation Confinement ring support assembly
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7326872B2 (en) 2004-04-28 2008-02-05 Applied Materials, Inc. Multi-frequency dynamic dummy load and method for testing plasma reactor multi-frequency impedance match networks
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20050263070A1 (en) * 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
KR100532354B1 (ko) * 2004-05-31 2005-11-30 삼성전자주식회사 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) * 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
TWI574318B (zh) * 2004-06-21 2017-03-11 Tokyo Electron Ltd A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium
KR20060005560A (ko) * 2004-07-13 2006-01-18 삼성전자주식회사 플라즈마를 이용하는 반도체 소자 제조 장비
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
KR100790392B1 (ko) 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
US7632375B2 (en) * 2004-12-30 2009-12-15 Lam Research Corporation Electrically enhancing the confinement of plasma
US7364623B2 (en) * 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
BRPI0608235A2 (pt) * 2005-03-07 2009-11-24 Mounir Laroussi gerador de plasma
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
IES20050301A2 (en) 2005-05-11 2006-11-15 Univ Dublin City Plasma source
US7342361B2 (en) 2005-05-11 2008-03-11 Dublin City University Plasma source
US20060278339A1 (en) * 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7780814B2 (en) * 2005-07-08 2010-08-24 Applied Materials, Inc. Wafer pre-clean reactor cable termination for selective suppression/reflection of source and bias frequency cross products
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US20070221332A1 (en) * 2006-03-22 2007-09-27 Tokyo Electron Limited Plasma processing apparatus
US7517437B2 (en) * 2006-03-29 2009-04-14 Applied Materials, Inc. RF powered target for increasing deposition uniformity in sputtering systems
US8192576B2 (en) * 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US20080162587A1 (en) * 2006-12-29 2008-07-03 Ulrich Auer Server synchronization for maintenance activities
US7758718B1 (en) * 2006-12-29 2010-07-20 Lam Research Corporation Reduced electric field arrangement for managing plasma confinement
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8450635B2 (en) * 2007-03-30 2013-05-28 Lam Research Corporation Method and apparatus for inducing DC voltage on wafer-facing electrode
US7824519B2 (en) * 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
CN101809717B (zh) 2007-09-25 2012-10-10 朗姆研究公司 用于等离子处理设备的喷头电极总成的温度控制模块
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
CN101419904B (zh) * 2007-10-22 2012-04-25 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体约束装置及等离子体处理装置
CN101441983B (zh) * 2007-11-21 2011-01-12 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体约束装置及应用该约束装置的半导体处理设备
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
US7999239B2 (en) * 2007-12-10 2011-08-16 Varian Semiconductor Equipment Associates, Inc. Techniques for reducing an electrical stress in an acceleration/deceleraion system
KR100906701B1 (ko) * 2007-12-20 2009-07-07 주식회사 디엠에스 기판에 식각 영역을 만들기 위한 장치
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
JP4792060B2 (ja) * 2008-05-22 2011-10-12 キヤノンアネルバ株式会社 マグネトロンスパッタリング装置及び薄膜の製造法
US9887069B2 (en) * 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
US8518094B2 (en) * 2010-03-02 2013-08-27 Bwt Property, Inc. Precisely guided phototherapy apparatus
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5835985B2 (ja) * 2010-09-16 2015-12-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN102469675A (zh) * 2010-11-05 2012-05-23 北京北方微电子基地设备工艺研究中心有限责任公司 功率匹配装置和半导体设备
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012112187A1 (en) * 2011-02-15 2012-08-23 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
CN104024477B (zh) * 2011-11-23 2016-05-18 朗姆研究公司 多区域气体注入上电极系统
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN104289382B (zh) * 2014-07-10 2019-04-05 华东师范大学 利用旋吸法在微通道板侧壁沉积薄膜的方法及其专用装置
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105228330B (zh) * 2015-09-01 2018-09-14 沈阳拓荆科技有限公司 一种射频等离子体设备匹配器
US20170278679A1 (en) * 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102256216B1 (ko) * 2019-06-27 2021-05-26 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 제어 방법
CN112863983B (zh) * 2019-11-28 2023-09-29 中微半导体设备(上海)股份有限公司 用于等离子体处理设备的下电极组件和等离子体处理设备

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4612207A (en) * 1985-01-14 1986-09-16 Xerox Corporation Apparatus and process for the fabrication of large area thin film multilayers
DE4039930A1 (de) 1990-12-14 1992-06-17 Leybold Ag Vorrichtung fuer plasmabehandlung
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JP3351843B2 (ja) * 1993-02-24 2002-12-03 忠弘 大見 成膜方法
JP3162245B2 (ja) * 1994-04-20 2001-04-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
CA2259972A1 (en) * 1996-07-03 1998-01-08 Vail, Robert C. Method and apparatus for etching a semiconductor wafer
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
JP3565311B2 (ja) * 1997-12-17 2004-09-15 アルプス電気株式会社 プラズマ処理装置
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
US6019060A (en) * 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6602381B1 (en) * 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009014067B4 (de) * 2008-03-21 2014-02-13 Tokyo Electron Limited Plasmabearbeitungsvorrichtung
US8651049B2 (en) 2008-03-21 2014-02-18 Tokyo Electron Limited Plasma processing apparatus

Also Published As

Publication number Publication date
EP1415322B1 (de) 2007-08-01
CN1539156A (zh) 2004-10-20
CN100401451C (zh) 2008-07-09
DE60221535D1 (de) 2007-09-13
EP1415322A2 (de) 2004-05-06
KR100936647B1 (ko) 2010-01-14
WO2003015123A2 (en) 2003-02-20
TWI272041B (en) 2007-01-21
US6984288B2 (en) 2006-01-10
US20030029567A1 (en) 2003-02-13
WO2003015123A3 (en) 2003-09-25
ATE368936T1 (de) 2007-08-15
WO2003015123B1 (en) 2003-11-20
KR20040038990A (ko) 2004-05-08

Similar Documents

Publication Publication Date Title
DE60221535T2 (de) Zwei-frequenz-plasmaätzreaktor mit unabhängiger kontrolle für dichte, chemie und ionenenergie
DE102009014067B4 (de) Plasmabearbeitungsvorrichtung
DE69814687T2 (de) Plasmavorrichtung mit einem mit einer spannungsquelle verbundenen metallteil, das zwischen einer rf-plasma-anregungsquelle und dem plasma angeordnet ist
DE60033312T2 (de) Plasmabehandlungsvorrichtung und -verfahren
DE69820041T2 (de) Verfahren und geraet zur ueberwachung von niederschlaege auf der innenoberflaeche einer plasmabarbeitungskammer
DE69727624T2 (de) Induktiv gekoppelter HDP-CVD-Reaktor
DE60219343T2 (de) Abstimmbares mehrzonen-gasinjektionssystem
DE60038175T2 (de) Plasmaätzkammer
DE69723359T2 (de) Vorrichtung und Verfahren zur Herstellung eines elektronischen Bauteils
DE69635640T2 (de) Plasmabearbeitungsgerät
DE69628358T2 (de) Plasmaätzmethode
DE69636286T2 (de) Plasmaunterstützter chemischer reaktor und verfahren
DE69736977T2 (de) Vakuumkammer mit hohem durchfluss und modularen ausstattungselementen wie plasmaerzeugungsquelle, vakuumpumpe und/oder freitragendem werkstückträger
DE19781667B4 (de) Plasmaerzeugungsverfahren und -gerät mit einer induktiv gekoppelten Plasmaquelle
EP1287548B1 (de) Plasmaätzanlage
DE60036631T2 (de) Plasmabehandlungsapparatur und plasmabehandlungsverfahren
DE112006002151T5 (de) Plasmabearbeitungsgerät
DE4319717A1 (de) Vorrichtung zum Erzeugen planaren Niedrigdruckplasmas unter Verwendung einer Spule mit deren Achse parallel zu der Oberfläche eines Koppelfensters
DE2601288A1 (de) Gasaetzvorrichtung, insbesondere zur herstellung von halbleitervorrichtungen
EP1062679B1 (de) Plasmaätzanlage
DE102013106931A1 (de) Plasmasystem, Spannvorrichtung und Verfahren zur Herstellung einer Halbleitervorrichtung
EP0940839A2 (de) Aetz- oder Beschichtungsanlagen
DE212007000107U1 (de) Ringförmige Plasmakammer für Prozesse mit hohen Gasdurchflussraten
EP1290926B1 (de) Hochfrequenz-plasmaquelle
EP0089382A1 (de) Plasmareaktor und seine Anwendung beim Ätzen und Beschichten von Substraten

Legal Events

Date Code Title Description
8364 No opposition during term of opposition