DE69727624T2 - Induktiv gekoppelter HDP-CVD-Reaktor - Google Patents

Induktiv gekoppelter HDP-CVD-Reaktor Download PDF

Info

Publication number
DE69727624T2
DE69727624T2 DE69727624T DE69727624T DE69727624T2 DE 69727624 T2 DE69727624 T2 DE 69727624T2 DE 69727624 T DE69727624 T DE 69727624T DE 69727624 T DE69727624 T DE 69727624T DE 69727624 T2 DE69727624 T2 DE 69727624T2
Authority
DE
Germany
Prior art keywords
chamber
gas
substrate
winding
cathedral
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69727624T
Other languages
English (en)
Other versions
DE69727624D1 (de
Inventor
Fred C. Fremont Redeker
Moghadam Los Gatos Farhad
Hiroji Sunnyvale Hanawa
Tetsuya Santa Clara Ishikawa
Dan Los Altos Hills Maydan
Shijian San Jose Li
Brian Mountain View Lue
Robert Cupertino Steger
Yaxim San Jose Wang
Manus San Jose Wong
Ashok Palo Alto Sinha
Fred Romuald Cupertino Nowak
Kaveh No. 308 Santa Clara Niazi
Kenneth Sunnyvale Smyth
Pavel San Jose Staryuik
Padmanabham Mountainview Krishhanaraj
Laxman Fremont Murugesh
Kent San Jose Rossman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE69727624D1 publication Critical patent/DE69727624D1/de
Publication of DE69727624T2 publication Critical patent/DE69727624T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Description

  • Die vorliegende Erfindung bezieht sich auf eine Vorrichtung und ein Verfahren zur Behandlung von Halbleitersubstraten, und insbesondere auf ein Gerät zur chemischen Gasphasenabscheidung (CVD) mit hochdichtem Plasma (HDP) für eine Abscheidung von Filmen, vorzugsweise αC, αFC, SiN, SiON, dotiertes und undotiertes SiO2 und BiN, auf Substraten.
  • Für Halbleiterbehandlungen, wie die chemische Gasphasenabscheidung (CVD), das Ätzen, die reaktive lonenätzung, usw., verwendete Plasmageräte verwenden gewöhnlich entweder eine induktive Einkoppelung oder eine kapazitive Einkoppelung, um ein Plasma zu zünden und aufrechtzuerhalten. Ein Vorteil von induktiv eingekoppelten Plasmen gegenüber kapazitiv eingekoppelten Plasmen besteht darin, dass das induktiv eingekoppelte Plasma mit einer viel geringeren Vorspannung auf einem Substrat erzeugt wird, was die Wahrscheinlichkeit seiner Beschädigung verringert. Außerdem haben induktiv eingekoppelte Plasmen eine höhere lonendichte und ergeben dadurch höhere Abscheidungsraten und größere mittlere freie Weglängen, während bei viel niedrigeren Drucken als bei kapazitiv eingekoppelten Plasmen gearbeitet wird. Diese Vorteile erlauben während der Behandlung eine Zerstäubung und/oder lonenrichtbarkeit in situ.
  • Neuerdings hat man CVD-Verfahren mit hochdichtem Plasma (HDP) verwendet, um eine Kombination von chemischen Reaktionen und physikalischem Zerstäuben zu erhalten. HDP-CVD-Verfahren begünstigen die Dissoziation der Reaktionsteilnehmergase durch das Anlegen von Hochfrequenzenergie (HF) in die Reaktionszone in der Nähe der Substratoberfläche, wodurch ein Plasma mit hochreaktiven Ionenspezies erzeugt wird. Die relativ nicht reaktiven Ionenbestandteile, d.h. Ar, erhalten einen hohen Impuls (e-Feld), der dazu verwendet wird, abgeschiedenes Filmmaterial selektiv von speziellen Bereichen längs des Profils des Films basierend auf einer Zerstäubungsergiebigkeitskurve zu entfernen. Die hohe Reaktivität der freige setzten Ionenspezies verringert die Energie, die für das Stattfinden einer chemischen Reaktion erforderlich ist, wodurch die erforderliche Temperatur für diese Prozesse erniedrigt wird.
  • Das Ziel der meisten HDP-CVD-Prozesse ist die Abscheidung eines Films mit gleichförmiger Dicke über der Oberfläche eines Substrats, während auch für eine gute Spaltfüllung zwischen Linien und anderen Formen gesorgt wird, die auf dem Substrat ausgebildet sind. Die Abscheidungsgleichförmigkeit und die Spaltkontaktlochfüllung sind sehr empfindlich gegenüber der Quellenform, den Gasstromänderungen, der Quellenhochfrequenz-Generatorleistung, der Vorspannungshochfrequenz-Generatorleistung, der Gasdüsenauslegung einschließlich der Symmetrie in der Verteilung der Düsen, der Anzahl der Düsen, der Höhe, in der die Düsen über dem Substratträger angeordnet sind, und der seitlichen Position der Düsen bezogen auf den Substratträger. Diese Variablen ändern sich, wenn sich die in dem Gerät ausgeführten Prozesse und Prozessgase ändern.
  • Ein bei der Halbleiterherstellung auftretendes Problem ist die Erzeugung und Aufrechterhaltung der Gleichförmigkeit der Plasmadichte über dem Substrat. Die Plasmagleichförmigkeit hängt von den magnetischen und elektrischen Feldern, die in dem Gerät erzeugt werden, sowie von dem Gasstrom in das Gerät und aus ihm heraus ab. Wenn die Substratgrößen zunehmen, d. h. auf 300 mm, wird es noch schwieriger, eine Gleichförmigkeit über einer großen Fläche zu erreichen.
  • Ein weiteres Problem, das die Abscheidungsgleichförmigkeit beeinflusst, ist eine ungleichförmige Gasverteilung über der Substratoberfläche. Üblicherweise ist um den Umfang eines Behandlungsbereichs eine Gassammelkammer vorgesehen, und es erstreckt sich eine Vielzahl von Düsen radial nach innen, um Gase für die Substratoberfläche bereitzustellen. Bei einigen Ausgestaltungen neigen die Gase zu einer ungleichförmigen Verteilung über der Substratoberfläche, wobei mehr Gas zum Rand des Substrats hin und weniger Gas zur Mitte des Substrats hin bereitgestellt wird. Außerdem werden Reaktionsteilnehmergase gewöhnlich in das Gaseinstrahlsystem vor ihrem Einführen in die Kammer eingemischt. In diesen Fällen neigt das Material dazu, sich in dem Gaseinstrahlsystem abzusetzen und dadurch einige Gasinjektoren zu verstopfen, was die ungleichförmige Gasverteilung weiter steigert.
  • Ein anderes auftretendes Problem ist die Aufrechterhaltung einer gleichförmigen Temperatur über der Substratoberfläche. Wenn ein Substrat behandelt wird, ist eine beträchtliche Wärmebelastung aufgrund der Plasmastrahlung und des Ionenbombardements vorhanden, denen die Substratfläche ausgesetzt ist. Wenn über der Substratoberfläche ein Temperaturgradient vorhanden ist, kann die Abscheidung des Films in nicht gleichförmiger Weise vor sich gehen. Deshalb ist es wichtig, die Temperatur des Substrats genau zu steuern.
  • Ein weiteres Problem ist die Materialabscheidung auf dem Gerät. Während der Behandlung scheidet sich Abscheidungsmaterial über dem ganzen Gerät, auf dem Substratträgerelement und auf den Gasverteilungskomponenten ab. Mit der Zeit kann ein solcher Materialaufbau in die Kammer abflocken, was zu einer Teilchenverunreinigung auf dem Substrat führt, die die Unversehrtheit von herzustellenden Vorrichtungen gefährdet. Das Gerät muss deshalb periodisch gereinigt werden. Ein bevorzugtes Reinigungsverfahren besteht darin, Reinigungsgase in die Kammer einzuführen, damit sie mit dem abgeschiedenen Material zur Bildung eines Produkts reagieren, das aus der Kammer abgeführt werden kann. Gewöhnlich wird ein Reinigungsgas, wie fluoriertes Gas, in die Kammer eingeführt und in der Kammer ein Plasma gezündet. Die sich ergebenden angeregten Produkte reagieren mit dem Abscheidungsmaterial unter Bildung von Gasphasen-Nebenprodukten, die dann aus der Kammer abgeführt werden. Ein Problem bei diesem Verfahren besteht darin, dass die Reinigung gewöhnlich auf Bereiche angrenzend an das Plasma lokalisiert ist. Um die Reinigung von allen freiliegenden Kammeroberflächen zu verbessern, wird der Zeitraum, währenddessen der Reinigungsprozess erfolgt, erhöht, wodurch der Durchsatz verringert wird, und/oder der Reinigungsprozess wird unter Verwendung von hohen Temperaturen ausgeführt, wodurch einige der Kammerflächen effektiv übermäßig gereinigt werden und die Kosten für die Betriebshilfsstoffe und/oder die Wartungsintervalle erhöht werden.
  • Deshalb besteht ein Bedürfnis für ein Behandlungsgerät, das gleichförmigere Bedingungen zur Ausbildung von dünnen CVD-Filmen auf einem Substrat einschließlich verbesserter Reinigungseigenschaften und eines hohen Durchsatzes bei günstigerer Fertigung bietet.
  • Die US-A-5,401,350 offenbart eine Behandlungskammer, die Seitenwände, eine für die Hochfrequenz durchlässige Fläche, Einrichtungen zum Zuführen eines Prozessgases, eine einen Wafer enthaltende Arbeitsfläche, die im Wesentlichen parallel zu dem lonenplasmastrom ist, sowie erste und zweite HF-Wicklungen aufweist, die auf der Oberseite des Kammergehäuses oder um es herum angeordnet sind. Das Prozessgas wird in den Innenraum der Kammer durch einen Kanal eingeführt, der durch die Seite des Mantels hindurchgehend ausgebildet ist.
  • Lucovsky et al. zeigen in "Deposition of silicon dioxide and silicon nitride by remote plasma enhanced chemical vapour depositio", Journal of Vacuum Science and Technology A, Band 4, Nr. 3, S. 681–688 (1986), eine plasmaverstärkte chemische Gasphasen-Fernabscheidungskammer (RPECVD) mit einem Deckel. In die Kammer wird über den Deckel ein erstes Prozessgas zugegeben, während ein zweites Prozessgas über einen Durchlass in der Seitenwand der Kammer zugeführt wird.
  • Die EP-A-O,839,217 offenbart eine Behandlungskammer mit einer Seitenwand, einem Boden, einem Deckel und einem auskragenden Substrathalter sowie einer Auslassöffnung im Boden der Kammer. Die Oberseite der Kammer bildet ein erster Gasinjektionsverteiler. Ein zweiter Gasverteiler ist längs der Wand der Behandlungskammer angeordnet. Der zweite Gasverteiler hat einen Düsenaufbau mit einer Vielzahl von Düsen, die für das Initiieren von gasförmiger Substanz in die Kammer ausgebildet sind. Diese Düsen sind mit Gasquellen durch Leitungen über Zuführleitungen verbunden, die so gestaltet sein können, dass sie sich horizontal durch die Behandlungskammerwand erstrecken. Die EP-A-0,839,217 ist gemäß Art. 54(3) EPC nur hinsichtlich Neuheit entgegenhaltbar.
  • Nach der vorliegenden Erfindung wird eine Vorrichtung zum Behandeln von Substraten, wie es im Anspruch 1 aufgeführt ist, sowie ein entsprechendes Verfahren bereitgestellt, wie es im Anspruch 6 angegeben ist. Bevorzugte Ausgestaltungen sind in den Ansprüchen 2 bis 5 bzw. 7 bis 11 definiert.
  • Eine Ausführungsform der vorliegenden Erfindung stellt ein HDP-CVD-Gerät bereit, das die Abscheidung und Zerstäubung von dotiertem und undotiertem Siliciumdioxid verwendet und eine ausgezeichnete Spaltfüll- und Deckenfilmabscheidung auf Wafern ausführen kann, die bei Seitenverhältnissen von mehr als 1,2 : 1 Formgrößen von unter 0,5 Mikron haben. Das die vorliegende Erfindung verkörpernde Gerät kann eine induktiv eingekoppelte Plasmaquelle mit einer Doppel-HF-Zone, ein Doppelzonen-Gasverteilungssystem, temperaturgesteuerte Kammerbauteile, ein symmetrisch geformtes, turbomolekular bepumptes Kammergehäuse, einen doppelten elektrostatischen Kühlzonenhalter, einen Kammeraufbau insgesamt aus Keramik/Aluminiumlegierung sowie ein Plasmakammer-Fernreinigungssystem aufweisen.
  • Damit die Art und Weise, in der die oben erwähnten Merkmale, Vorteile und Ziele der vorliegenden Erfindung erreicht werden, im Einzelnen verstanden werden können, folgt eine speziellere Beschreibung der Erfindung, die vorstehend kurz zusammengefasst ist, unter Bezugnahme auf ihre Ausgestaltungen, die in den beiliegenden Zeichnungen dargestellt sind.
  • Es ist jedoch zu vermerken, dass die beiliegenden Zeichnungen nur typische Ausgestaltungen dieser Erfindung zeigen und deshalb nicht als Beschränkung ihres Umfangs angesehen werden können, da die Erfindung auch bei anderen gleichermaßen wirkenden Ausgestaltungen eingesetzt werden kann.
  • 1 ist eine Schnittansicht einer Behandlungskammer der vorliegenden Erfindung,
  • 2A bis 2C sind elektrische Schaltschemata, die drei verschiedene HF-Anpassausführungen zeigen, die zur Ausführung bei der vorliegenden Erfindung verwendet werden können,
  • 3 ist eine schematische Schnittansicht, die die Doppelzonen-HF-Plasmaquelle der vorliegenden Erfindung zeigt,
  • 4 ist eine auseinander gezogene Ansicht der oberen Temperatursteueranordnung der oberen Antenne,
  • 5 ist eine Schnittansicht eines Substratträgerelements der vorliegenden Erfindung,
  • 6 ist eine geschnittene Draufsicht eines Substratträgerelements der vorliegenden Erfindung,
  • 7 ist eine geschnittene Draufsicht auf eine Kammer mit einem darin angeordneten Substratträgerelement,
  • 8 ist eine Draufsicht auf eine Ausführungsform eines elektrostatischen Halters,
  • 8a ist eine alternative Ausgestaltung des elektrostatischen Halters,
  • 9 ist eine Schnittansicht einer Ausführungsform des elektrostatischen Halters von 8,
  • 10 ist ein Ablaufschema der Temperatursteuervorgänge des elektrostatischen Halters von 8 und 9,
  • 11 ist eine Schnittansicht eines elektrostatischen Halters und eines Abdeckrings,
  • 12 ist eine Schnittansicht eines Abdeckrings, der in der Nähe einer Quellenwicklung angeordnet ist,
  • 13 ist eine teilweise geschnittene Seitenansicht, die das Gassteuersystem der vorliegenden Erfindung zeigt,
  • 14 ist eine teilweise geschnittene Seitenansicht, die den Gasverteilungsring und den ersten Gaskanal zeigt,
  • 15 ist eine teilweise geschnittene Seitenansicht, die den Gasverteilungsring und den zweiten Gaskanal zeigt,
  • 16 ist eine teilweise geschnittene Seitenansicht, die die zentrale Gaszuführanordnung zeigt,
  • 17 ist eine auseinander gezogene Ansicht des Gasverteilungsrings und der losen Platte der Deckelanordnung,
  • 18 ist eine schematische, teilweise geschnittene Seitenansicht, die die Mikrowellen-Fernplasmareinigung und ihre Anordnung an der Kammer zeigt,
  • 19 ist eine Draufsicht auf einen Gasdiffusor,
  • 20 ist eine Seitenansicht des Gasdiffusors, und
  • 21 ist eine perspektivische Ansicht einer Gasleiteinrichtung.
  • Nachstehend wird im Einzelnen das Gerät unter Bezugnahme auf jede der folgenden Unteranordnungen beschrieben, nämlich ein Kammergehäuse, eine Kammerdeckelanordnung, eine Kathoden- und Hubanordnung, ein Prozesszubehör, eine Gasverteilungsanordnung und eine Fernplasmaquelle.
  • Kammergehäuse
  • 1 ist eine Schnittansicht eines Behandlungsgeräts 10 der vorliegenden Erfindung. Das Behandlungsgerät 10 hat insgesamt ein Kammergehäuse 12, eine Deckelanordnung 14 und ein auskragendes, entfernbares Substratträgerelement 16. Diese Bauteile bilden in ihrer Kombination einen physikalisch und elektrisch symmetrischen, evakuierbaren Mantel und Auslasskanal 22, worin die Substratbehandlung ausgeführt wird.
  • Das Kammergehäuse 12 ist vorzugsweise ein einheitlicher, spanabhebend bearbeiteter Aufbau mit einer Seitenwand 18, die einen inneren ringförmigen Behandlungsbereich 20 begrenzt und sich zu ihrem unteren Ende hin verjüngt und einen konzentrischen Auslasskanal 22 bildet. Das Kammergehäuse 12 hat eine Vielzahl von Öffnungen, zu denen wenigstens eine Substrateinlassöffnung 24 gehört, die durch ein Schlitzventil 44 abgedichtet ist, und eine Seitenöffnung 26, durch die das an dem Kragarm angebracht Substratträgerelement 16 angeordnet ist. Die Substrateinlassöffnung 24 und die Trägerelementöffnung 26 sind vorzugsweise durch gegenüberliegende Seiten des Kammergehäuses 12 hindurchgehend vorgesehen. Auf gegenüberliegenden Seiten der Kammerwand 18 auf etwa der Höhe der oberen Fläche des Substratträgerelements 16 sind zwei zusätzliche Seitenöffnungen angeordnet und mit einem in der Kammerwand 18 ausgebildeten Gaskanal 28 verbunden. Reinigungsgase, wie dissoziierte, Fluor-enthaltende Gase, werden in den Kanal 28 von einer Fernplasmaquelle 30 und in die Kammer durch Gaseinlassöffnungen eingeführt, die dafür vorgesehen und in 18 gezeigt sind. Die Stelle der Mündungen der Öffnungen in die Kammer sind so vorgesehen, dass sie Gase direkt zu den Bereichen des Reaktors leiten, wo ein starker Aufbau eintritt. Die Fernplasmaquelle und die Reinigungsgaslieferung werden nachstehend im Einzelnen beschrieben.
  • Die obere Fläche der Kammerwand 18 bildet einen insgesamt ebenen Absetzbereich, auf dem eine Basisplatte 33 der Deckelanordnung 34 abgestützt ist. In der oberen Fläche der Wand 18 sind für die Aufnahme von einem oder mehreren O-Ringen 38 eine oder mehrere O-Ring-Nuten 36 vorgesehen, die eine luftdichte Abdichtung zwischen dem Kammergehäuse 12 und der Basisplatte 33 bilden. Die Deckelanordnung wird nachstehend im Einzelnen beschrieben.
  • Das Substratträgerelement 16 erstreckt sich teilweise durch die seitliche Zugangsöffnung 26, die in der Kammerwand 18 ausgebildet ist, und ist an der Kammerwand 18 an einem Flansch 46 angeordnet, um eine insgesamt ringförmige Substrataufnahmefläche 200 in der Mitte der Kammer zu bilden. Wenn der Substratträger 16 in der Kammer angeordnet ist, bilden eine Außenwand 50 des ringförmigen Trägerelements 16 und eine Innenwand 52 der Kammer einen ringförmigen Fluidkanal 22, der im Wesentlichen um den gesamten Umfang des Trägerelements 16 herum gleichförmig ist. Bevorzugt wird, dass der im Wesentlichen gleichförmige Kanal 22 und die Auslassöffnung 54 im Wesentlichen konzentrisch zu der Substrataufnahmefläche des Substratträgers sind. Die Auslassöffnung 54 ist in der Mitte unter dem Substrataufnahmeabschnitt des Trägerelements 16 angeordnet, um die Gase gleichmäßig durch den gleichförmigen Kanal 22 und aus der Kammer heraus abzuführen. Dies ermöglicht einen gleichförmigeren Gasstrom über der Substratfläche um ihren gesamten Umfang herum sowie radial nach unten und außen von der Kammer durch die Auslassöffnung 54, die sich in der Mitte der Basis der Kammer befindet. Der gleichförmige Fluidkanal 22 begünstigt eine gleichförmige Abscheidung von Filmschichten, indem die Gleichförmigkeit von Druck und Verweilzeit aufrechterhalten wird, was bei vorhandenen Behandlungskammern fehlt, beispielsweise Substratstellen mit differierender Nähe bezogen auf die Pumpöffnung.
  • An dem sich verjüngenden unteren Abschnitt des Kammergehäuses ist zur Bildung einer Drucksteuerung in der Kammer eine Pumpanordnung mit einer Doppelblattdrosseleinrichtung 56, einem Absperrschieber 58 und einer Turbomolekularpumpe 60 angeordnet. Die Doppelblattdrosseleinrichtung 56 und der Absperrschieber 58 sind zwischen dem Kammergehäuse 12 und der Turbomolekularpumpe 60 angeordnet, um eine Abtrennung über den Absperrschieber 58 und/oder eine Drucksteuerung bei Drucken von etwa 0 bis etwa 100 Millitorr (0 bis 13 Pa) zu ermöglichen, die durch Einstellen der Doppelblattdrosseleinrichtung 56 bestimmt werden. Eine bevorzugte Pumpe ist eine 1600 l/s-Turbopumpe, es kann jedoch auch irgendeine Pumpe verwendet werden, die den gewünschten Druck in der Kammer erreichen kann. Mit der Auslassöffnung 54 ist an Stellen stromauf und stromab von der Turbopumpe eine Vorvakuumleitung 57 verbunden. Dies ergibt eine Vorpumpfähigkeit. Die Vorvakuumleitung ist an eine entfernte Hauptpumpe angeschlossen, gewöhnlich eine Grobvakuumpumpe. In der Pumpanordnung ist eine Öffnung 59 für die Anbringung eines Flansches 61 an der Vorvakuumleitung ausgebildet. Während der Kammerreinigung strömen Reinigungsgase in die Kammer mit hohem Durchsatz, wodurch der Druck in der Kammer erhöht wird. Bei einem Aspekt der Erfindung wird deshalb die Turbopumpe von der Kammer durch den Absperrschieber 58 abgetrennt, und die Hauptpumpe wird dazu verwendet, den Druck in der Kammer während des Reinigungsprozesses aufrechtzuerhalten.
  • Während der Behandlung eine Substrats in der Kammer evakuiert die Vakuumpumpe die Kammer auf einen Druck im Bereich von etwa 4 bis etwa 6 Millitorr (0,5 bis 0,8 Pa), und es wird ein dosierter Strom von Prozessgas oder von Prozessgasen durch die Gasverteileranordnung zu- und in die Kammer eingeführt. Der Kammerdruck wird dadurch gesteuert, dass der Kammerdruck direkt gemessen und diese Information einer Steuereinrichtung zugeführt wird, die die Ventile zur Einstellung der Pumpgeschwindigkeit öffnet und schließt. Die Gasströme/-konzentrationen werden direkt durch Massenstromsteuereinrichtungen über eine Software-Setzstelle gesteuert, die in einer Prozessgebrauchsanweisung angegeben ist. Durch Messen des Durchsatzes der aus der Kammer durch die Auslassöffnung 54 abgepumpten Gase kann auch an der Einlassgaszuführung eine Massenstromsteuereinrichtung (nicht gezeigt) verwendet werden, um den gewünschten Druck und die gewünschte Gaskonzentration in der Kammer aufrechtzuerhalten.
  • Kammerdeckelanordnung
  • Die Kammerdeckelanordnung 24 besteht insgesamt aus einem Energie übertragenden Dom 32, einer Energielieferanordnung 62 und einer Temperatursteueranordnung 64, die von einer angelenkten Basisplatte 33 erhalten wird. Die Basisplatte 33 bildet einen inneren ringförmigen Kanal, in dem ein Gasverteilungsring angeordnet ist. In der Oberseite des Gasverteilungsrings sind für die Aufnahme eines O-Rings O-Ring-Nuten ausgebildet, um den Dom 32 und die Oberseite des Gasverteilungsrings abzudichten. Die Deckelanordnung bildet in Kombination sowohl den körperlichen Mantel des Plasmabehandlungsbereichs sowie das Energieliefersystem für das Ausführen der Behandlung. Über der gesamten Deckelanordnung ist vorzugsweise eine Abdeckung für die Aufnahme der verschiedenen Bauelemente vorgesehen.
  • Der Dom 32 besteht insgesamt aus einer zylindrischen Seitenwand 66, die an einem Ende durch eine flache Oberseite 68 abgeschlossen ist. Die zylindrische Seitenwand ist insgesamt senkrecht zur oberen Fläche des Substratträgerelements 16, und die planare Oberseite 68 ist insgesamt parallel zu der oberen Fläche des Trägerelements 16. Die Verbindung 70 zwischen der Seitenwand und der Oberseite ist abgerundet, um eine gekrümmte Innenwand des Doms 32 zu bilden. Der Dom 32 ist aus einem dielektrischen Material, das für HF-Energie durchlässig ist, vorzugsweise aus einem keramischen Material, wie Aluminiumoxid (AI2O3), Aluminiumnitrid (AIN) oder Quarz (SiO2), hergestellt.
  • Außen um den dielektrischen Dom 32 sind zwei gesondert gespeiste HF-Wicklungen herumgelegt, nämlich eine obere Wicklung 72 und eine Seitenwicklung 74. Die Seitenwicklung ist vorzugsweise von einem Masseschild abgedeckt, um ein elektrisches Übersprechen zwischen den Wicklungen 72 und 74 zu reduzieren. Die HF-Wicklungen 72 und 74 werden von zwei HF-Quellen 76 und 78 mit variabler Frequenz gespeist.
  • Jede Leistungsquelle hat eine Steuerschaltung, die die reflektierte Leistung misst und die einen digital gesteuerten Synthesizer in dem HF-Generator zur Frequenzwobbelung, gewöhnlich ausgehend von 1,8 MHz, einstellt, um die reflektierte Leistung zu minimieren. Wenn das Plasma zündet, ändern sich die Schaltkreisbedingungen, da das Plasma als ein zur Wicklung paralleler Widerstand wirkt. In diesem Stadium fährt der HF-Generator mit der Frequenzwobbelung fort, bis ein Punkt mit minimal reflektierter Leistung wieder erreicht ist. Die Leistungsquellenschaltung ist so ausgelegt, dass jeder Satz von Wicklungen bei der Frequenz oder in der Nähe von der Frequenz in Resonanz ist, bei der der Punkt mit minimal reflektierter Leistung erreicht ist, so dass die Spannung der Wicklungen genügend hoch ist, um ausreichend Strom zur Unterhaltung des Plasmas bereitzustellen. Die Frequenzfeinabstimmung gewährleistet so, dass das System nahe der Resonanz bleibt, auch wenn der Resonanzpunkt des Kreises sich während der Behandlung ändert. Auf diese Weise beseitigt die Frequenzfeinabstimmung die Notwendigkeit für eine Kreisfeinabstimmung und eine Impedanzanpassung durch Ändern der Werte der Impedanzanpassungsbauelemente (beispielsweise Kondensatoren oder Drosseln).
  • Jede Leistungsquelle gewährleistet, dass die gewünschte Leistung für die Last trotz irgendwelcher Impedanzfehlanpassungen, sogar trotz sich kontinuierlich ändernder Impedanzfehlanpassungen geliefert wird, die aufgrund von Änderungen in der Plasmaimpedanz entstehen können. Um zu gewährleisten, dass die genaue Leistung zur Lastseite geliefert wird, leitet jeder HF-Generator die reflektierte Leistung selbst ab und erhöht die Ausgangsleistung, so dass die gelieferte Leistung auf dem gewünschten Niveau bleibt.
  • 2(a), 2(b) und 2(c) zeigen schematisch drei gesonderte lokale HF-Anpassausgestaltungen. 2(a) zeigt eine Anpassausgestaltung zur Verwendung mit einer Wicklung L, deren eines Ende geerdet ist. Die beiden Kondensatoren C1 und C2 bilden einen HF-Spannungsteiler. In 2(b) wird eine Ausgleichswicklung L mit zwei parallel geschalteten Kondensatoren C2 und C3 verwendet, um die Last(Plasma-)Frequenz anzupassen, wobei C2 und C3 über die Spule an Masse liegen. Schließlich wird in 2(c) eine piII-Netzwerkanpassung mit zwei variablen Kondensatoren verwendet, die über die Spule L an Masse liegen. Da die Ausgangsimpedanz der meisten herkömmlichen HF-Generatoren so ausgelegt ist, dass sie 50 Ohm beträgt, können Anpassungsnetzwerke 2(a), 2(b) oder 2(c) verwendet werden, um die maximale Leistung auf Plasmen zu überführen, die in einem Impedanzbereich von so wenig wie 5 Ohm bis so hoch wie 900 Ohm (im ausgeglichenen Lastfall) reichen. Dieses Doppelwicklungssystem ermöglicht eine Steuerung der radialen lonendichtenprofile in der Reaktionskammer.
  • 3 ist eine schematische Seitenansicht der Kammer, die hauptsächlich die Wicklungsgeometrie und die HF-Speisungen für die obere Wicklung 72 und die Seitenwicklung 74 zeigt. In 3 ist das pi-Netzwerkanpassungssystem gezeigt, das anhand von 2(c) beschrieben ist. In die Kammer 13 wird eine Langmuir-Sonde eingeführt, um die Plasmaionendichte an verschiedenen Stellen quer über der Kammer 13 unter Verwendung von nur der oberen Wicklung und nur der Seitenwicklung für die Plasmaerzeugung zu messen. Die Doppelwicklungsanordnung kann, wenn sie richtig auf ein zu behandelndes Substrat fein abgestimmt ist, eine gleichförmige lonendichte quer über ihre Fläche erzeugen. Eine gleichförmige lonendichte quer über die Substratfläche trägt zum gleichförmigen Abscheiden und einer gleichförmigen Spaltfüllleistung auf dem Wafer bei und unterstützt ein leichteres Laden der Vorrichtungs-Gateoxide aufgrund ungleichförmiger Plasmadichten. Wenn die Wirkung der Wicklungen überlagert wird, ergibt sich eine gleichförmige Plasmadichte, und die Abscheidungseigenschaften können in weitem Rahmen verbessert werden.
  • Der Dom 32 hat auch eine Temperatursteueranordnung 64 zur Regulierung der Temperatur des Doms während der verschiedenen Prozesszyklen, d. h. der Abscheidung und der Reinigung. 4 ist eine auseinander gezogene Ansicht der Temperatursteueranordnung 64 und der oberen Wicklung 72. Die Temperatursteueranordnung hat insgesamt eine Heizplatte 80 und eine Kühlplatte 82, die angrenzend aneinander angeordnet sind und vorzugsweise eine dünne Schicht 84 eines thermisch leitenden Materials, wie Grafoil, hat, die dazwischen angeordnet ist. Vorzugsweise wird eine Grafoil-Schicht von 4 mils bis etwa 8 mils (100 bis 200 um) dazwi schen angeordnet. Die thermisch leitende Platte 86, beispielsweise eine AIN-Platte, ist mit Nuten versehen, die in der unteren Fläche für die Aufnahme der Wicklung 72 ausgebildet sind. Zwischen der thermisch leitenden Platte 86 und der Heizplatte 80 ist eine zweite Grafoil-Schicht 88 angeordnet, die vorzugsweise etwa 1 bis etwa 4 mils (25 bis 100 μm) dick ist. Zwischen der Wicklung 72 und dem Dom 32 ist eine dritte thermisch leitende Schicht 90 angeordnet. Die dritte Schicht ist vorzugsweise eine Chromeric-Schicht mit einer Dicke von etwa 4 mils bis etwa 8 mils (100 bis 200 μm). Die thermisch leitenden Schichten erleichtern den Wärmeübergang zum Dom 32 und von ihm weg. Während der Reinigung wird der Dom vorzugsweise erhitzt, während er während der Behandlung vorzugsweise gekühlt wird. Als Folge ist eine thermisch leitende Bahn vorgesehen, um diese Vorteile zu erreichen.
  • Die Kühlplatte 82 hat einen oder mehrere in ihr ausgebildete Fluidkanäle, durch welche ein Kühlfluid, wie Wasser, strömen gelassen wird. Der Wasserkanal in der Kühlplatte ist in Reihe zu Kühlkanälen 88 angeordnet, die in dem Kammergehäuse ausgebildet sind. Ein Gummischlauch in Schiebearretierbauweise mit schnell lösbaren Anschlüssen führt dem Kammergehäuse und dem Kühlkanal in dem Deckel Wasser zu. Die Rückführleitung hat einen optischen Durchflussmesser mit einem Durchflusssperrschalter. Der Durchflussmesser ist werksseitig für 0,8 g/min Durchsatz bei einem Druck von etwa 60 psi (4,1 × 105 Pa) geeicht. An dem Dom ist ein Temperatursensor angebracht, um dessen Temperatur zu messen. Die Heizplatte 80 hat vorzugsweise ein oder mehrere Heizwiderstandselemente, die in ihr angeordnet sind, um Wärme für den Dom während der Reinigungsphase bereitzustellen. Vorzugsweise besteht die Heizplatte aus gegossenem Aluminium, wobei jedoch auch andere in diesem Bereich bekannte Materialien verwendet werden können. Mit der Temperatursteuerungsanordnung ist zur Regulierung der Temperatur des Doms eine Steuereinrichtung verbunden.
  • Jedes der Bauteile 80, 82, 84, 86 und 88 bildet zwei Kanäle, durch die sich die Enden der oberen Wicklung 72 erstrecken. In jedem Kanal, der in der Heizplatte 80, der Kühlplatte 82 und in den Grafoil-Schichten ausgebildet ist, sind zwei Isolierhülsen 94, 96 angeordnet, um die sich hindurcherstreckenden Wicklungsleitungen zu isolieren. Die Isolierhülsen können Silicium-Saugbecher aufweisen, die an ihren unteren Enden angeordnet sind, um eine Abdichtung an der Isolierplatte 86 zu bilden.
  • Die Heizplatte 80 und die Kühlplatte 82 werden durch direkte Leitung dazu verwendet, die Domtemperatur zu steuern. Die Steuerung der Domtemperatur innerhalb von 10 K verbessert die Wiederholbarkeit von Wafer zu Wafer, die Abscheidungshaftung und reduziert die Anzahl der Flocken oder Teilchen in der Kammer. Die Domtemperatur wird insgesamt in einem Bereich von etwa 100 EC (100°C) bis etwa 200°C abhängig von den Behandlungserfordernissen gehalten. Es hat sich gezeigt, dass höhere Kammerreinigungsraten (Ätzraten) und eine bessere Filmhaftung an dem Substrat auch bei höheren Domtemperaturen erhalten werden können.
  • Kathode und Hubanordnung
  • Es wird nun unter Bezug auf die 5 bis 10 die Kathode und Hubanordnung beschrieben. Das Trägerelement hat Bauteile, die in der Kammer positionierbar sind, sowie Bauteile, die außerhalb der Kammer positionierbar sind. Die Bauteile des Trägerelements 16, die in der Kammer positionierbar sind, erstrecken sich durch die Zugangsöffnung 26, die in der Seitenwand 18 der Kammer vorgesehen ist, und werden an der Seitenwand durch Bauteile gehalten, die außerhalb der Kammer positionierbar sind. 5 ist eine Schnittansicht des Substratträgerelements 16. Das Trägerelement 16 hat insgesamt eine Basis 94 mit einem Flansch 46 zur Befestigung an der Kammerwand, einen Kragarmabschnitt 96, der sich von ihm ausgehend radial nach innen erstreckt, und einen Substrataufnahmeabschnitt 98, der am Ende des Kragarms 96 angeordnet ist. Der Flansch 46 hält die Basis 94 des Trägerelements an der Kammerwand 18 um die Zugangsöffnung 26 für das Substratträgerelement herum. Die Basis 94 erstreckt sich von dem Flansch 46 nach innen und bildet einen inneren gekrümmten Wandabschnitt 51. Der gekrümmte Wandabschnitt 51 ist vorzugsweise ein Bogen oder ein Kreissegment mit einem Radius r, der im Wesentlichen gleich dem gesamten Innenradius R der Kammer ist. Die Oberfläche der gekrümmten Wand 51 in der Umfangsrichtung wird angrenzend an die Innenwand 52 der Kammer aufgenommen. Die gekrümmte Wand 51 bildet zusammen mit der inneren Wand 52 der Kammer eine symmetrische und durchgehende innere Kammerwand, wenn das Trägerelement 16 in der Kammer für die Behandlung positioniert ist, was in 7 gezeigt ist.
  • Der Kragarm 96 erstreckt sich von dem unteren Abschnitt der Basis 94 nach innen und trägt den ESC-aufnehmenden Abschnitt 98, der auf sich eine Substrataufnahmefläche 99 hat. Der ESC-Aufnahmeabschnitt 98 hat eine sich nach oben erstreckende, ringförmige Führung 100. Die ringförmige Führung 100 hat einen Abschnitt mit einem größeren Innendurchmesser und einen Abschnitt mit einem kleineren Innendurchmesser, die eine innere Ringschulter bilden, auf der ein Isolierelement 102 abgestützt wird. Auf der Isolierplatte 102 wird vorzugsweise ein ESC 104 gehalten, der eine Substrataufnahmefläche 99 bildet. Die Außenwand 50 des ESC-Aufnahmeabschnitts 98 bildet eine fortlaufende Ringfläche.
  • Der ESC-Aufnahmeabschnitt 98 bildet auch eine Aussparung 108, in der eine Substratpositionieranordnung 110 angeordnet ist. An dem unteren Teil des Aufnahmeabschnitts ist durch eine Schraubengewindeanordnung eine Bodenplatte 112 befestigt, um die inneren Bauteile des Trägerelements 16 vor der Behandlungsumgebung zu schützen.
  • 7 ist eine geschnittene Draufsicht, die ein in einer Kammer angeordnetes Trägerelement 16 zeigt. Der Kragarm 96 erstreckt sich quer über den symmetrischen Fluidkanal 22 und hält den ESC-Aufnahmeabschnitt 98 in der Kammer. Bevorzugt wird, dass der Kragarm eine Unterbrechung, Einengung oder Störung des Fluidstroms durch den Fluidkanal 22 minimiert, indem ein Fluidkanal oder eine Vielzahl von Kanälen 114 vorgesehen werden, beispielsweise ein durchgehender Radialkanal. Bevorzugt wird ferner, dass ein Trägerarm 116 einen durchgehenden Kanal oder eine Vielzahl von durchgehenden Kanälen 118 aufweist, um eine Unterbrechung, Einengung oder Störung des Fluidstroms durch den gleich bleibenden Fluidkanal zu minimieren.
  • Bevorzugt wird auch, dass der Kragarm 96 an dem ESC-Aufnahmeabschnitt 98 an einer Stelle entfernt von der Substrataufnahmefläche angreift, beispielweise längs des Bodens des ESC-Aufnahmeabschnitts 98, um die Einwirkung auf die Gase in der Nähe der Obertläche des Substrats zu minimieren, die durch Unterbrechung, Einengung oder Störung des Fluids verursacht wird, wenn es durch den Kragarm und um ihn herum fließt. Insbesondere wird bevorzugt, dass jede Ungleichförmigkeit im Fluidkanal 22 auf ein Minimum reduziert und in einem ausreichenden Abstand von der ESC-Aufnahmefläche 98 positioniert ist, um eine Beeinflussung des Fluidstroms über ein darauf angeordnetes Substrat zu vermeiden.
  • Die Substrathubanordnung 120 hat eine Vielzahl von sich radial erstreckenden Substratträgerstiften 122, die um den Umfang des ESC-Aufnahmeabschnitts 98 herum fluchtend ausgerichtet und beabstandet und an einer mit Flügeln versehenen Halteplatte 123 aufgenommen sind. Die Halteplatte 123 ist innerhalb einer insgesamt rechteckigen Ausnehmung 124 angeordnet, die in dem Trägerelement 16 ausgebildet ist, und wird von einer vertikal bewegbaren Hebeanordnung 126 betätigt. Wie in 5 gezeigt ist, hat die Hebeanordnung 126 einen vertikal beweglichen Schaft 128, der eine Platte 130 an seinem oberen Ende trägt. Der Schaft 128 wird durch eine Betätigungseinrichtung vertikal nach oben und unten bewegt, vorzugsweise durch einen Druckluftzylinder, der außerhalb der Kammer angeordnet ist.
  • Die Trägerstifte 122 sind in Hülsen 132 aufgenommen, die in Bohrungen 134 sitzen, die vertikal durch den ESC-Aufnahmeabschnitt 98 hindurch angeordnet sind und sich unabhängig von dem Trägerelement 16 innerhalb des Gehäuses bewegen. Die Trägerstifte 122 erstrecken sich von dem Trägerelement 16 aus und ermöglichen es einem Robotblatt, ein Substrat aus dem Gehäuse zu entfernen, sie müssen jedoch in das Trägerelement 16 versenkt werden, um ein Substrat auf der oberen Fläche des ESC 104 zu positionieren. Jeder Stift hat einen zylindrischen Schaft, der in einem unteren kugeligen Abschnitt und in einem oberen kugeligen Abschnitt endet.
  • In Betrieb wird ein externes Blatt 138 (mit einem darauf gehaltenen, zu behandelnden Substrat) durch den Schlitzschieber 24 in die Kammer eingebracht, um ein Substrat über dem Trägerelement 16 in Position zu bringen. Ein Beispiel für ein geeignetes Blatt 138 und ein zugehöriges Robotsubstrat-Handhabungssystem ist in der EP-A-O 272 141 beschrieben, die der US-A-4,951,601 entspricht. Die Hebeanordnung 126 hebt die Substratträgerstifte 122 über das Blatt, um das Substrat aufzunehmen. Dann wird das Blatt aus der Kammer zurückgezogen, und ein Druckluftzylinder schließt ein Tor über dem Blattzugangsschlitz, um die Kammer abzudichten. Zum Absenken der Trägerstifte 122 wird die Hebeanordnung 126 betätigt, bis das Substrat auf der oberen Fläche 98 des Trägerelements 16 in Position für die Behandlung aufgenommen ist.
  • Nach der Behandlung fährt die Hebeanordnung die Trägerstifte 122 aus, um das Substrat von dem Substratträgerelement 16 abzuheben. Dann wird das Tor geöffnet und das Blatt wieder in die Kammer eingeführt. Danach senkt die Hebeanordnung 126 die Substratträgerstifte 122 ab, um das Substrat auf dem Blatt abzulegen. Wenn die sich nach unten bewegenden Stifte 122 das Blatt freigegeben haben, wird es zurückgezogen.
  • Während der Behandlung gibt das Plasma der CVD-Behandlungsumgebung große Mengen an Wärme ab, wobei die von dem Plasma erzeugte Gesamtwärme wenigstens teilweise von der Leistungsdichte des Plasmas abhängt. Ein Teil dieser Wärme wird in das Substrat übertragen und muss aus dem Substrat entfernt werden, um die Temperatur des Substrats unter einer vorgegebenen kritischen Temperatur zu halten. Zum Entfernen dieser Wärme ist ein Wärmeübertragungssystem in dem Substratträgerelement 16 vorgesehen, um die Temperatur des Trägerelements und des zu behandelnden Substrats zu steuern. 6 ist eine Draufsicht, die das Wärmeübertragungssystem des Trägerelements 16 zeigt. Durch Kanäle 144 und 146 sind ein Wassereinlass 140 und ein Wasserauslass 142 verbunden. Innerhalb des Trägerelements 16 ist ein Wasserverteiler 148 angeordnet, um die Wärmeübertragung aus dem Trägerelement auf die Kühlfluide zu erleichtern. Die Temperatur des Trägerelements 16 wird so gewählt, dass eine vorzeitige Abscheidung innerhalb des Gasverteilers stromauf von dem Behandlungsbereich der Kammer ausgeschlossen wird. Die durch die Masse des Substratträgerelements 16 hindurchgehenden Kühlmittelkanäle 144, 146 sind für den Durchgang von Kühlfluiden vorgesehen. Zusätzlich übertragen Nuten in der Oberfläche des ESC 104 (der nachstehend beschrieben wird), in denen Gase strömen, Wärme von dem Substrat in das Trägerelement 16 und anschließend in die Kühlfluide.
  • 8 ist eine Draufsicht auf eine Ausführungsform eines elektrostatischen Halters 104 nach der vorliegenden Erfindung. 8a ist eine alternative Ausgestaltung, die symmetrisch ist und einen Waferflachbereich ausschließt. Anstelle einer glatten oberen Fläche ist in der Oberfläche eine Anzahl von Nuten vorgesehen, um eine große Anzahl von Vorsprüngen 166 zu bilden. Von einer Umfangszone 170 ist durch eine Dichtung 172 eine zentrale Zone 168 dieser Vorsprünge getrennt. Die Abdichtung 142 ist einfach ein Bereich, in dem keine Nuten ausgebildet sind, um Vorsprünge zu bilden, so dass eine massive Oberfläche vorhanden ist, um den Strom zwischen den getrennten Zonen zu minimieren. Eine äußere Dichtung 174 bildet eine Sperre, um einen Leckstrom von Heliumgas in die Kammer zu minimieren.
  • In die Umfangszone 170 wird durch einen Ring 176 Heliumgas eingeführt, der aus einer Nut besteht, die eine Reihe von Löchern aufweist, die Helium mit einem höheren Druck in dieser Zone von der Heliumleitung 47 von 1 aufnehmen. Ein innerer Ring 178 ermöglicht es Gas mit niedrigerem Druck, zu der zentralen Zone 168 aus der Heliumdruckleitung 147 zu gelangen. Wenn im Betrieb ein anfänglicher niedriger Heliumdruck in der zentralen Zone 168 eingestellt ist, entfernt der Heliumring 178 gewöhnlich Heliumgas, das durch den Dichtungsbereich 172 hindurchleckt, um den gewünschten niedrigen Druck des Heliums aufrechtzuerhalten. Bei einer wahlweisen Ausgestaltung können Vakuumlöcher 180, die Hubstiftlöcher sein können, dazu verwendet werden, das Gas in der zentralen Zone unter Verwendung einer Vakuumleitung 135 von 1 herauszupumpen, damit der Druck in der zentralen Zone weiter abgesenkt werden kann. Wahlweise können zusätzliche Vakuumlöcher hinzugefügt werden.
  • Die Heliumnut 278 ist vorzugsweise nahe an dem Dichtungsbereich 172 angeordnet. Dadurch, dass sie so nahe wie möglich positioniert wird, kann eine Annäherung an die gewünschte Wärmeübertragungsschrittfunktion erfolgen. Das Hochdruckgas wird somit in einem schmalen Bereich durch den Umfang gehalten. Wenn sich das Hochdruckgas zu weit zur Mitte des Wafers erstreckt, wird die kühlere Mitte noch kälter, wodurch die Reduzierung der Wärmedifferenz durch das Gas mit höherem Druck teilweise aufgehoben wird.
  • Zur Erwärmung des Wafers wird im Betrieb Helium mit niedrigerem Druck von 133 bis 2000 Pa (1 bis 15 Torr) in der zentralen Zone 168 und Helium mit höherem Druck von 133 bis 2666 Pa (1 bis 20 Torr) in der Umfangszone 170 bereitgestellt. Das Helium mit höherem Druck in der Umfangszone ergibt eine bessere Wärmeübertragung am Umfang des Wafers.
  • Bei einer Ausführungsform werden die Dichtungen aus der gleichen keramischen Beschichtung hergestellt, aus der der Rest der Oberseite des elektrostatischen Halters 164 besteht. Eine solche keramische Beschichtung hat kleine Zwischenräume, so dass die Dichtungsbereiche keine perfekte Dichtung bilden. Zusätzlich haben das Substrat oder der Wafer eine geringe Rückseitenrauigkeit und können eine stärkere Rauigkeit als der Substratträger haben. Deshalb sollte der Dichtungsbereich ausreichend breit sein, um einen merklichen Leckstrom von Helium von einem Bereich zum anderen zu verhindern. Durch Versuche wurde festgestellt, dass für einen mit Keramik beschichteten elektrostatischen Halter bei den oben angegebenen Druckbereichen eine Dichtungsbreite von 2,5 mm (1/10 Zoll oder 100 mils) wirksam ist. Vorzugsweise liegt die Abdichtungsbreite in einem Bereich von 1,3 bis 7,6 mm (50 bis 300 mils). Bei der äußeren Abdichtung 174 möchte man die Breite minimieren, da die Fläche des Wafers über dieser Dichtung nicht den Vorteil der Wärmeleitung von dem Hochdruckhelium aus hat. Gleichzeitig muss die Dichtung breit genug sein, um einen merklichen Leckstrom des Heliums in die Kammer zu verhindern, der die beabsichtigte Wärmeübertragungsfähigkeit schwächen könnte, indem der aufrechterhaltene Heliumdruck aufgrund höherer Strömungswerte erreicht oder die Reaktion in der Kammer beeinträchtigt wird. Die gleiche Breite von 2,5 mm (100 mils) hat sich als effektiv bei einer optimalen Abdichtungsbreite im Bereich von 1,3 bis 7,6 mm (50 bis 300 mils) erwiesen. Für unterschiedliche Materialien und Glätten des Substratträgers und des Substrats können abgeänderte Breiten geeignet sein. Wenn beispielsweise ein Polymerfilm, wie KaptonTM, verwendet wird, das von vielen bekannten Lieferfirmen zur Verfügung steht, kann eine geringe Breite aufgrund seiner Nachgiebigkeit erreicht werden.
  • Ein bevorzugtes Wärmeübertragungsgas ist Helium, da es inert und relativ billig ist. Alternativ können Argon, Sauerstoff, CF4 oder andere Gase oder eine Mischung von Gasen verwendet werden. Eine Mischung könnte beispielsweise zum Einsatz kommen, um zusätzliche Drucksteuerungsfähigkeiten zu erhalten. Das spezielle Gas könnte so gewählt werden, dass es mit dem chemischen Prozess in der Kammer kompatibel ist, so dass der Gasleckstrom eine minimale Auswirkung auf die chemischen Reaktionen hat. Bei einer Ätzreaktion unter Verwendung von Fluor als Ätzspezies kann es beispielsweise erwünscht sein, CF4 als Rückseiten-Wärmeübertragungsgas zu verwenden.
  • Da eine Wärmeleitung hauptsächlich durch das Heliumgas hindurch eintritt, möchte man die Größe und Anzahl der Vorsprünge und Dichtungsbereiche für diesen Zweck minimieren. Deshalb sollte über der Fläche des Substrats die Kontaktfläche kleiner als die kontaktfreie Fläche sein. Andererseits sind die Dichtungen erforderlich, um einen Gasleckstrom zu unterbinden, und die Vorsprünge müssen eine ausreichende Größe und einen ausreichenden Abstand haben, um den Wafer mechanisch zu tragen. Zusätzlich gibt es andere zu optimierende Faktoren. Die Höhe der Vorsprünge, die den Spalt zwischen dem Substrat und dem Substratträger zwischen den Vorsprüngen bestimmen, muss ausreichen, damit sich das Gas schnell über die Zonen verteilen kann, ohne eine Prozessanlaufzeit zu beeinflussen. Gewöhnlich muss dies eine Größenordnung von wenigen Sekunden sein, und vorzugsweise wird das Gas in 10 Sekunden oder weniger verteilt.
  • Für einen optimalen Wärmeübergang sollte der Spalt klein genug sein, so dass die Wärmeübertragung hauptsächlich durch Moleküle erfolgt, die sich direkt von dem Substrat zu dem Substratträger bewegen, ohne mit anderen Gasmolekülen zu kollidieren, um eine freie molekulare Wärmeübertragung zu erhalten. Deshalb sollte der Spalt kleiner als die mittlere freie Weglänge des Gases (oder die durchschnittliche freie Weglänge, wenn eine Mischung von Gasen verwendet wird) sein. Die mittlere freie Weglänge ist eine Funktion des Gasdrucks und des molekularen Kollisionsquerschnitts. Wenn eine Vielzahl von Drucken verwendet wird, ändert sich die mittlere freie Weglänge. Bei einer bevorzugten Ausführungsform wird die mittlere freie Weglänge des aufzubringenden Maximaldrucks zur Bestimmung der Spaltabmessung verwendet.
  • Zusätzlich muss das Verhältnis des Spalts zur dielektrischen Gesamtdicke eingehalten werden, um lokale Anomalien auf dem Substrat zu vermeiden. Wenn dieses Verhältnis signifikant ist, ändert sich die äquivalente Kapazität zwischen den Räumen und den Vorsprüngen beträchtlich, wodurch ein merklich unterschiedliches elektrisches Feld an dem Substrat anliegt. Dieses unterschiedliche Feld kann den chemischen Prozess beeinflussen und Ungleichförmigkeiten in dem Film verursa chen, der abgeschieden, geätzt, dotiert wird oder anderen Eigenschaftstransformationen unterliegt. Notwendigerweise ist eine bestimmte Differenz vorhanden, man möchte sie jedoch minimieren.
  • Die Größe des Verhältnisses ändert sich auch abhängig von dem dielektrischen Material, insbesondere von der Differenz zwischen der Dielektrizitätskonstanten des Materials und des Wärmeübertragungsgases (im Wesentlichen eines). Je näher die beiden Dielektrizitätskonstanten beieinander liegen, desto weniger Bedenken bestehen bei einem größeren Spalt.
  • Ein anderes Problem beim Einstellen der Spaltgröße besteht darin, zu vermeiden, dass sich ein Plasma mit dem Wärmeübertragungsgas zwischen dem Substratträger und der Rückseite des Wafers bildet. Man nimmt an, dass dies problematisch würde, wenn die Spaltgröße ein Mehrfaches der mittleren freien Weglänge des Wärmeübertragungsgases ausmachen würde.
  • Für eine Ausgestaltung eines elektrostatischen Halters liegt die Dicke der keramischen Beschichtung in der Größenordnung von 178 bis 254 μm (7 bis 10 mils). Wenn Kapton® verwendet wird, kann eine Dicke von 25 bis 50 μm (1 bis 2 mils) verwendet werden. Im Idealfall ist für die Zwecke der Halterung das Dielektrikum so dünn wie möglich innerhalb der Grenzen der Aufrechterhaltung der Fertigungsbeständigkeit und der Verhinderung eines dielektrischen Durchschlags. Die mittlere freie Weglänge von Helium bei den Drucken für die beiden beschriebenen Bereiche beträgt etwa 25 bis 127 μm (1 bis 5 mils) (bei sehr hohen Drucken kann die mittlere freie Weglänge kleiner als 25 μm sein). Dementsprechend wurden Vorsprungshöhen von 18 bis 30 μm (0,7 bis 1,2 mils) ausgewählt, geprüft und als wirksam befunden. Dies ergibt einen Spalt, der kleiner als die mittlere freie Weglänge des Heliums bei den gewünschten Drucken ist. Vorzugsweise ist der Spalt kleiner als die doppelte mittlere freie Weglänge des Wärmeübertragungsgases bei den betreffenden Drucken, und besonders bevorzugt kleiner als die mittlere freie Weglänge.
  • Der Abstand zwischen den Vorsprüngen ist so groß wie möglich, während das Substrat noch ohne Biegung abgestützt wird. In einer Ausführungsform ist das Substrat planar gehalten, während bei anderen Ausgestaltungen es erwünscht sein kann, die Vorsprungshöhe oder alternativ die obere Fläche des Substratträgers zu variieren (bei Vorsprüngen mit gleicher Höhe), um ein gekrümmtes Substrat richtig abzustützen. Ein weiterer Faktor ist das Vermeiden von scharten Punkten, die lokale Anomalien in dem elektrischen Feld verursachen könnten. Ein zu großer Abstand kann ebenfalls die Bewegung der Charge während des Lösens der Halterung beeinträchtigen und einen Schaden verursachen.
  • Es wurde ermittelt, dass ein optimaler Abstand der Vorsprünge von Mitte zu Mitte im Bereich von 2,5 bis 7,6 mm (100 bis 300 mils) und bevorzugt bei etwa 7,6 mm (300 mils) liegt. Die Größe der Vorsprünge liegt vorzugsweise zwischen 250 μm und 3,8 mm (10 und 150 mils), und bevorzugt bei etwa 3,3 mm (130 mils) im Durchmesser. Es sind quadratische Vorsprünge einfach wegen ihrer leichten Fertigung gezeigt, und es können genauso auch andere Formen verwendet werden. Beispielsweise können Ringformen zum Einsatz kommen.
  • Bei der gezeigten Ausgestaltung sind keine Öffnungen zum Entfernen von Gas in dem äußeren Umfangsbereich gezeigt, obwohl sie in einer alternativen Ausgestaltung vorgesehen werden können. Die Steuerung des Heliumdrucks kann entweder dadurch erfolgen, dass Helium mit hohem oder niedrigem Druck bereitgestellt wird oder dass stärker von der Vakuumpumpe gepumpt wird. In gleicher Weise kann für den zentralen Bereich der Druck auf jede dieser Weisen oder durch eine Kombination von beiden gesteuert werden. Die Anordnung der Heliumquelle als Ring nahe den Rändern in Kombination mit einem Vakuum in der Nähe der Mitte des Trägers ergibt einen zusätzlichen Druckgradienten innerhalb des zentralen Bereichs, der zur Mitte hin abnimmt. Eine andere Ausgestaltung der vorliegenden Erfindung sieht deshalb eine Grobeinstellung der Wärmeübertragung über die beiden Druckzonen mit einer Feinabstimmung vor, die über die Anordnung des Heliumeinlasses und der Vakuumauslässe in dem zentralen Abschnitt erfolgt. Bei anderen Ausführungen kann mehr als eine Zone für feinere Einstellungen mit dem Nachteil verwendet werden, dass mehr Hardware erforderlich ist.
  • 9 ist eine Seitenansicht einer Ausführungsform eines ESC 104, die eine variierende dielektrische Dicke eines Dielektrikums 186 zeigt. Auf dem Halter ist ein Wafer 182 angeordnet. Der Halter hat einen Elektrodenabschnitt 184, der von dem Dielektrikum 186 abgedeckt ist. Das Dielektrikum erstreckt sich über die Oberseite und längs der Seiten 190 des elektrostatischen Halters. Wie zu sehen ist, ist das Dielektrikum im zentralen Abschnitt 192 dicker und an den Umfangsabschnitten 194 dünner. Die Seitenansicht zeigt die Vielzahl von Vorsprüngen 170 sowie auch die innere Abdichtung 172 und die äußere Abdichtung 174.
  • Das dünnere Dielektrikum an den Umfangsabschnitten 194 sorgt für eine stärkere elektrostatische Kraft an diesen Abschnitten. Dies ist aus einer Anzahl von Gründen vorteilhaft. Erstens wird der Wafer fester gehalten, was einen besseren Wärmeübergang gewährleistet, indem ein besserer Kontakt mit der Oberseite des elektrostatischen Halters erreicht wird. Zweitens hilft eine stärkere Kraft das Helium mit dem höheren Druck zwischen den Dichtungen 172 und 174 nahe dem Umfang zu halten. Wenn der Umfangsabschnitt des Wafers eine Temperatur hat, die sich von der des zentralen Abschnitts unterscheidet, kann dies zusätzlich zu einem Biegen bezüglich des zentralen Abschnitts führen und es kann zu einem Biegen nach oben oder nach unten kommen, was das Wärmeunterschiedsproblem weiter verschlimmert. Dies kann durch eine geeignete stärkere elektrostatische Kraft an dem Umfangsabschnitt überwunden werden.
  • Bei einer anderen Ausgestaltung kann die variierende Dielektrikumsstärke ohne die beiden Druckzonen oder ohne die Vorsprünge verwendet werden. Die Änderung in der dielektrischen Beschichtung kann durchgehend oder schrittweise sein. Ein schrittweiser Unterschied macht die Fertigung einfacher und billiger.
  • Ein weiterer Vorteil des Dichtungsbereichs 174 und der stärkeren elektrostatischen Kraft am Rand des Wafers besteht darin, eine Lichtbogenbildung des Plasmas gegenüber dem freiliegenden Metall nahe der oberen Fläche des elektrostatischen Halters zu verhindern. Ein solches freiliegendes Metall würde sich gewöhnlich an Heliumeinlassöffnungen befinden, das durch die Aluminiumelektrode entstehen würde, so dass durch diese Löcher ein Weg zur Elektrode frei wäre. Die Lichtbogenbildung wird dadurch verhindert, dass eine festere Dichtung vorgesehen wird, dass die Heliumeinlasslöcher ausreichend weit weg von den Rändern des elektrostatischen Halters positioniert sind oder dass eine Nut dort gelegt wird, um eine solche Lichtbogenbildung zu verhindern.
  • Wie in 9 gezeigt ist, kann ein Temperatursensor 196 in dem Raum zwischen der oberen Fläche des elektrostatischen Halters und dem Wafer angeordnet werden. Die Temperatur des Wafers kann so von dem Sensor abgeleitet werden.
  • 10 ist ein Signalflussdiagramm eines Rückkoppelungssteuersystems, das die Temperatursteuerung veranschaulicht. Das Diagramm von 10 gilt für ein Temperatursteuersystem mit geschlossener Schleife zur Steuerung des Heliumdrucks. Alternativ könnte ein System mit offener Schleife ohne den Temperatursensor zum Einsatz kommen. Frühere Untersuchungen könnten den geeigneten Heliumdruck für die gewünschten Prozessparameter vorgeben, so dass bei einer anderen Ausgestaltung der Temperatursensor weggelassen werden könnte. 10 enthält sowohl in einem Prozessor ausgeführte Funktionen als auch physikalische Effekte.
  • Es wird eine Temperatursetzstelle als benutzerprogrammierte Eingabe für eine Steuereinrichtung von einem Steuerprogramm in einem Speicher 245 aus vorgesehen. Der Wert der Temperatursetzstelle wird mit einer Konstanten 198 von der Steuereinrichtung multipliziert, die das Ergebnis einem Rückkoppelungssignal 213 hinzuaddiert, was durch eine Addierfunktion 202 angezeigt ist. Das Ergebnis der Addierfunktion wird von der Steuereinrichtung zur Steuerung des Heliumdrucks verwendet, indem Strömungsverengungen oder Ventile der Heliumzuführung gesteuert werden. Dies ändert das Ausmaß der Wärmeübertragung des Heliumgases. Bei einer bevorzugten Ausgestaltung wird der Heliumdruck nach einem mathematischen Modell gesteuert. Es können jedoch auch empirische Ergebnisse als Basis für die Drucksteuerung verwendet werden. Das mathematische Modell wird nachstehend beschrieben. Der Heliumdruck steuert den Wärmeübergang zu dem ESC, wie durch den Block 202 angezeigt ist (alternativ kann jede Art von Substratträger verwendet werden). Der ESC wird entweder durch einen Wärmeaustauscher 233 gekühlt oder durch eine Heizeinrichtung 243 erhitzt, wobei die Größe des Wärmeübergangs auf den Wafer von dem Heliumdruck gesteuert wird. Dieser Wärmeübergang kann durch die Wärme aufgehoben werden, die von der Energie erzeugt wird, die aus dem Plasma übertragen wird (was durch den Block 204 veranschaulicht ist), die sich (wie durch den Block 206 veranschaulicht) mit dem Wärmeübergang auf den ESC kombi niert. Der Gesamtwärmeübergang, der an der thermischen Wafermasse anliegt (wie durch den Block 208 dargestellt), erzeugt die Temperatur 210 des Wafers. Man vermerke, dass anstelle eines Halbleiterwafers auch andere Substrate verwendet werden können. Die Endtemperatur 210 des Wafers beeinträchtigt auch die Größe des Wärmeübergangs an den elektrostatischen Halter, was durch die Rückkoppelungslinie 211 angezeigt ist. Die Wärmeübergangsfunktion zwischen dem ESC und dem Wafer ist, wie im Block 202 angezeigt, eine Funktion der Temperatur des Wafers sowie der Temperatur des ESC. Wie gezeigt, entfernt der Wärmeübergang an den ESC Wärme aus dem Wafer, während die Wärme aus dem Plasma dem Wafer Wärme hinzufügt. Dies kann jedoch umgekehrt werden, wenn der elektrostatische Halter zum Erhitzen des Wafers verwendet wird und somit einen Wärmeeingang bildet, während Wärme aufgrund des Plasmas bei einer niedrigeren Temperatur oder einfach durch die Kammer bei Fehlen eines Plasmas entfernt wird.
  • Ein Block 212 zeigt die Umwandlung der Temperatur in ein elektrisches Signal durch den Temperatursensor. Der Block 214 zeigt die Übergangsfunktion, die an dem Prozessor anliegt, bevor das Temperatursignal mit der Temperatursetzstelle als Rückkoppelung kombiniert wird. Eine solche Übertragungsfunktion kann in ihrer einfachsten Form eine Multiplikation mit einer Konstanten, die eins sein kann, oder einfach eine Umformung aus einem Analogsignal in ein Digitalsignal sein.
  • Die von der Steuereinrichtung ausgeführten Funktionen erfolgen unter der Steuerung eines Programms im Speicher 245. Dieses Programm enthält Instruktionen zur Ausführung der verschiedenen Schritte, beispielsweise Instruktionen zum Lesen der Temperaturanzeige aus dem Temperatursensor, eine Instruktion zum Vergleich dieser Temperatur mit der gewünschten Eingabesetztemperatur und eine Instruktion zum Steuern des Druckventils (oder der Strömungsdrossel), um den Druck des Gases in einer speziellen Druckzone zu ändern. Andere lnstruktionen werden zur Unterbrechung des Gasstroms im Falle eines Fehlers, usw. vorgesehen.
  • Der Heliumdruck kann durch Erhöhen oder Erniedrigen des Drucks gesteuert werden, wenn ein einfacher elektrostatischer Halter mit einem Druck verwendet wird. Alternativ können dann, wenn wie bei der bevorzugten Ausführungsform der Erfindung zwei Druckzonen verwendet werden, der äußere und innere Heliumdruck ge trennt gesteuert werden. Die Temperatur eines jeden Bereichs kann aus einem einzigen Temperatursensor abgeleitet werden, der beispielsweise nahe dem Schnittpunkt der beiden Zonen angeordnet werden kann. Alternativ können zwei verschiedene Temperatursensoren verwendet werden. Bei einer anderen alternativen Ausgestaltung kann der Temperatursensor an der oberen Fläche des elektrostatischen Halters befestigt oder alternativ in direkten Kontakt mit dem Wafer gesetzt werden. Der Temperatursensor kann zum Ableiten des Drucks verwendet werden, beispielsweise wo ein Leckstrom zwischen den Zonen ist, was eine Druckänderung verursacht. Ein Druckregulator kann nur den Druck an einem Ausgang messen, was gewöhnlich in einem bestimmten Abstand von dem Wafer der Fall wäre, wodurch man unter ihm einen anderen Druck hätte. Ein Temperatursensor könnte zum Ableiten des tatsächlichen Drucks unter dem Wafer verwendet werden. Abhängig von der Waferoberflächenrauigkeit könnte der Leckstrom variieren, so dass der vorgesehene Druck variiert werden müsste.
  • Das Steuersystem hat gewöhnlich bestimmte Beschränkungen. Beispielsweise ist der Heliumdruck so beschränkt, dass der Wafer nicht von dem elektrostatischen Halter abgehoben wird oder dass keine Druckdifferenz gebildet wird, die einen thermischen Gradienten verursachen würde, der den Wafer aufgrund Wärmespannung beschädigt. Wenn solche Beschränkungen überschritten werden oder irgendein anderer definierter Fehler auftritt, wird der Gasstrom unterbrochen.
  • Prozesszubehör
  • Das Prozesszubehör besteht aus einem Bund und einer Abdeckung. Zusätzlich kann auch ein Mantel verwendet werden. Der keramische Bund hat Wafergröße, ist artspezifisch und zwischen dem elektrostatischen Halter und der Quarzabdeckung angeordnet. Der Hauptzweck des Bundes besteht darin, den Flansch des elektrostatischen Halters vor den Einflüssen des Plasmas zu schützen. Die Abdeckung erstreckt sich von dem Bund zu dem Außenumfang der Kathodenanordnung, und ihr Hauptzweck besteht darin, die Kathodenanordnung vor den Einflüssen des Plasmas zu schützen. An der unteren Kammer kann ein Mantel angeordnet werden, um das Distanzstück und den O-Ring, die die obere und untere Kammer abdichten, vor den Einflüssen des Plasmas zu schützen.
  • Bei einem Aspekt sieht die vorliegende Erfindung ein verbessertes Prozesszubehör oder eine Abschirmung für einen elektrostatischen Halter in einer Halbleiterbehandlungskammer vor, das/die die Abscheiung von gasförmigen Produkten auf ihn unterbindet oder ihr Widerstand entgegensetzt. Zusätzlich sorgt die Abschirmung für ein schnelleres Entfernen von Oxidabscheidung, was zu einer Erhöhung der Durchsatzleistung des Waferfertigungsprozesses führt.
  • Bei einer Ausführungsform können der Bund oder die Abdeckung ein leitendes Material aufweisen, das auf einer oder mehreren Oberfläche oder in ihnen angeordnet ist, um das Reinigen der Oberfläche zu verstärken. Insgesamt werden die induktiven Wicklungen, die um den dielektrischen Dom 32 herum angeordnet sind, dazu verwendet, das leitende Material in oder an dem Bund oder der Abdeckung zu erwärmen, was dann zu einer Erwärmung der Bund- oder Abdeckungsoberflächen führt. Es wurde gezeigt, dass In-situ-Reinigungsprozesse, die unter Verwendung von Fluorchemie oder anderen reaktiven Gasen ausgeführt werden, bei erhöhten Temperaturen besser ablaufen. Die Verwendung der induktiven Wicklung und eines Leiters, der in oder an den Prozesszubehörkomponenten angeordnet ist, erhöht die Temperatur der Oberflächen dieser Bauteile und vergrößert die Reinigungsraten.
  • Beispielsweise kann ein Metall auf einer Oberfläche eines Prozesszubehörbauteils, beispielsweise einem Bund oder einer Abdeckung, abgeschieden werden, um einen Leiter zu bilden, in dem ein Strom induziert werden kann. Die Wirkungsweise des Erhitzungsprozesses ist ähnlich dem, der sich in einem Transformator einstellt, bei dem die Wicklung die äußere Wicklung und die Metallschicht die innere Wicklung ist.
  • 11 ist eine Schnittansicht eines elektrostatischen Halters und eines Prozesszubehörs. Eine Substratträgeranordnung 230 hat einen Trägerkörper 232, der vorzugsweise als einstückiger Block aus einem elektrisch leitenden Material hergestellt ist, der eine hohe thermische Masse und eine gute Wärmeleitfähigkeit hat, um die Absorption von Wärme aus einem Wafer zu erleichtern, der über seiner oberen Fläche gekühlt wird. Das bevorzugte Material für den Trägerkörper 232 ist Aluminium oder eloxiertes Aluminium aufgrund seiner hohen Wärmeleitfähigkeit von etwa 237 W m–1K–1 und weil es insgesamt mit dem Halbleiterwafer behandlungsverträglich ist. Der Trägerkörper 232 kann aus anderen Metallen, wie rostfreiem Stahl oder Nickel bestehen, ein zusätzliches nicht leitendes Material aufweisen, oder der ganze Trägerkörper 232 kann aus einem nichtleitenden oder halbleitenden Material bestehen. Bei einer alternativen Ausgestaltung ist der Trägerkörper 232 eine monolithische Keramikplatte. Bei dieser Ausgestaltung hat die Keramikplatte ein in sie eingebettetes leitendes Element. Das leitende Element kann ein metallisches Element, eine Rohdruckmetallisierung, ein Maschengitter oder dergleichen sein. Der Trägerkörper 232 bildet einen ringförmigen Montageflansch 234, der sich nach außen von der Außenfläche des Trägerkörpers 232 erstreckt. An die Substratträgeranordnung 230 wird von einer Gleichspannungsquelle (nicht gezeigt) eine Spannung, vorzugsweise etwa 700 V, angelegt, um die elektrostatische Anzugskraft zu erzeugen, die einen Wafer W in unmittelbarer Nähe an der oberen Fläche des Trägerkörpers 232 hält.
  • Gemäß 11 hat der Substratträger 230 eine glatte Schicht eines dielektrischen Materials 236, das eine obere Fläche 238 des Trägerkörpers 232 abdeckt, um die untere Fläche eines Wafers W abzustützen. Die dielektrische Schicht 236 deckt die gesamte obere Fläche 238 des Trägerkörpers 232 mit Ausnahme des Bereichs ab, der über vier Hubstiftlöchern 240 liegt. Die dielektrische Schicht 236 hat vorzugsweise eine dünne keramische dielektrische Schicht, vorzugsweise in der Größenordnung von etwa 2,5 bis 7,8 mm (0,10 bis 0,30 Zoll), aus Aluminiumoxid oder einer Aluminiumoxid/Titanoxid-Zusammensetzung, die über die obere Fläche 238 des Trägerkörpers 232 durch Plasma aufgestäubt ist.
  • Bei einer Ausgestaltung ist eine Abschirmung 242 in Form eines dünnen Rings aus leitendem Material 244 vorgesehen, das unter einem Bund 246 abgeschieden ist. Der Bund 246 wird von dem Ringflansch 234 abgestützt und von einer Abdeckung 248 gehalten. Die Abdeckung 248 ist vorzugsweise ein äußerer Keramikmantel zum Abdecken und Schützen der seitlichen Flächen des Trägerkörpers 232, um die für das Reinigen der Kammer ertorderliche Zeit zu verringern. Der Bund 246 ist vorzugsweise von dem Ringflansch 234 durch einen kleinen Zwischenspalt 250 getrennt. Der Spalt 150 wird durch die natürliche Oberflächenrauigkeit der oberen Fläche des Ringflansches 234 und der unteren Fläche des leitenden Materials 244 oder des Bundes 246 erzeugt. Der Spalt 250 ist vorzugsweise etwa 13 bis 127 μm (0,5 bis etwa 5 mils) dick. Bei der Umgebung der Behandlungskammer mit relativ niedrigem Druck, gewöhnlich in der Größenordnung von etwa 666 mPa (5 Millitorr), bildet der Spalt 250 eine thermische Sperre, die eine Wärmleitung zwischen dem Bund 246 und dem Trägerkörper 232 unterbindet.
  • Wie in 11 gezeigt ist, hat der Bund 246 vorzugsweise einen Innendurchmesser, der größer ist als der Durchmesser des Trägerkörpers 232, wodurch ein zweiter Spalt 252 dazwischen gebildet wird. Der Spalt 252 bildet einen Raum für die Expansion des Trägerkörpers 232, wenn er in der Behandlungskammer erhitzt wird, und gewährleistet auch, dass die Abschirmung 242 installiert und entfernt werden kann, ohne den Substratträger 230 oder den Bund 246 zu beschädigen. Der Bund 246 besteht aus einem isolierenden oder dielektrischen Material, vorzugsweise aus Keramik, die dazu dient, das Plasma in der Behandlungskammer über dem Wafer an einer Kontaktierung und dadurch einer Erodierung eines Teils des elektrostatischen Halters zu hindern. Der Bund 246 ist jedoch nicht notwendigerweise auf ein Isoliermaterial beschränkt. Die Anmelderin hat gefunden, dass ein Bund 246 aus einem halb leitenden Material den elektrostatischen Halter wirksam vor Plasma in der Behandlungskammer schützen kann.
  • Der Bund 246 ist ein dünner Ring 254 mit einer gekrümmten oberen Fläche 256, die der Abscheiung von Gasen in der Behandlungskammer ausgesetzt ist. Das Verhältnis des Oberflächenbereichs der ausgesetzten oberen Oberfläche 256 zur thermischen Masse des Bundes 246 ist vorzugsweise hoch und beträgt gewöhnlich etwa 0,1 bis 5 cm2K/J, und vorzugsweise etwa 1 bis 1,6 cm2K/J. Das hohe Verhältnis des ausgesetzten Oberflächenbereichs zur thermischen Masse des Bundes 246 veranlasst seine Erhitzung auf eine merklich hohe Temperatur durch die HF-Energie in der Kammer. Da die Oxidabscheidungsrate gewöhnlich umgekehrt proportional zur Temperatur der Oberfläche in der Behandlungskammer ist, verhindert die von dem Bund 246 aufgenommene Wärme eine Oxidabscheidung an der freiliegenden oberen Oberfläche. Dadurch minimiert die Geometrie des Bundes 246 (d. h. das hohe Verhältnis von freiliegender Oberfläche zu thermischer Masse) die Abscheidungsrate auf der oberen Fläche 256.
  • Während eines Abscheidungsprozesses wird Oxid aus den Prozessgasen auf den Wafer W und auf einen wesentlichen Teil der freiliegenden Oberflächen der Kammer abgeschieden, beispielsweise auf den inneren Wänden des Mantels und der oberen Fläche 256 des Bundes 246. Da die thermische Masse des Bundes 246 relativ klein verglichen mit dem Oberflächenbereich der Fläche 256 ist, nimmt der Bund 246 eine relativ große Wärmemenge aus der HF-Leistungseinspeisung auf. Der Bund 246 wird auch durch den dünnen Ring aus leitendem Material 244 erhitzt, das Wärme über die HF-Leistung erzeugt. Dies verringert weiterhin die Rate der Oxidabscheidung auf der oberen Fläche 72.
  • Wie in 11 gezeigt ist, ist der Bund 246 in der Größe vorzugsweise so bemessen, dass die obere Fläche des Bundes 246 sich unter der oberen Fläche des Wafers befindet, wenn der Wafer auf der oberen Fläche der dielektrischen Schicht 236 aufliegt oder daran angrenzt. Das Positionieren des Bundes 246 unter der oberen Fläche des Wafers senkt weiterhin die Oxidabscheidungsrate auf der oberen Fläche 256 und ergibt eine verbesserte Sichtlinie zu den Waferrändern. Deshalb können die Ränder des Wafers eine höhere Abscheidungsrate aufnehmen als in dem Fall, in dem sich die Abschirmung 242 über dem Wafer erstreckt. In einigen Prozessen kann dies vorteilhaft für eine Kompensation der höheren Abscheiderate in der Mitte des Wafers sein, die sich gewöhnlich während der Behandlung einstellt.
  • In der Schnittansicht des Prozesszubehörs in einer Behandlungskammer nach 12 kann die Quellen-HF-Wicklung 260 in einer induktiven HDP-Quelle dazu verwendet werden, das keramische Prozesszubehör zu erhitzen. Der dünne Ring aus leitendem Material 244, der an einer oder mehreren Flächen oder innerhalb des keramischen Prozesszubehörs angeordnet werden kann, wirkt als Sekundärwicklung eines Transformators und leitet den durch die HF-Ströme in der Quellen-HF-Wicklung 260 induzierten Strom, der Wärme für das Prozesszubehör erzeugt. Der Widerstand der Sekundärwicklung ist von primärer Bedeutung, da ein entweder zu niedriger oder zu hoher Widerstand zu einer ineffizienten Leistungsübertragung und somit zu einer ineffizienten Erhitzung des Prozesszubehörs führt.
  • Für die in 12 gezeigte Kreisgeometrie ist der Widerstand R etwa 2 πrρ/wd, wenn r ein Maß für die radiale Abmessung des äußeren Radius des dünnen Rings aus leitendem Material, w die Breite des leitenden Materials, d die Dicke des leitenden Materials und ρ der spezifische Widerstand des leitenden Materials ist. Der Widerstand R wird vorzugsweise durch ein Verändern von wd, also der Querschnittsfläche des leitenden Materials 244, gesteuert. Um einen optimalen Kontakt mit dem Bund 246 zu erreichen, wird bevorzugt, dass w so groß wie möglich, jedoch kleiner als die Breite des Bundes 246 ist. Ein bevorzugtes Verfahren zum Erzielen des Optimalwerts d ist eine empirische Überwachung der Erhitzungsgeschwindigkeiten von verschiedenen Proben mit unterschiedlicher Dicke d des leitenden Materials. Bei einer bevorzugten Ausgestaltung wurde ein Prozesszubehör mit Graphit als leitendem Material bei dem Ring mit einem inneren Radius von 10 cm und einem äußeren Radius von 12 cm sowie einer Dicke von 0,13 mm induktiv auf eine Temperatur von etwa 288°C erhitzt.
  • Bei einem anderen Aspekt der Erfindung ist die Reinigungsrate oder Abscheidungsentfernungsrate des Prozesszubehörs gewöhnlich eine Funktion seiner Temperatur (d. h. je heißer die Abschirmung während der Behandlung wird, desto schneller kann sie gereinigt werden). Während des Reinigens wirkt das leitende Material 244 als Sekundärwicklung eines Transformators, der den durch HF-Ströme in der Quellen-HF-Wicklung 260 induzierten Strom leitet, der Wärme für das Prozesszubehör erzeugt. Somit wird bei einer erhöhten Temperatur die Reinigungsrate des Bundes 246 erhöht, was die Stillstandszeit der Vorrichtung 2 verringert, wodurch der Durchsatz des Prozesses erhöht wird.
  • Gasverteilungsanordnung
  • Im Folgenden wird die Gasverteilungsanordnung 300 unter Bezug auf 13 bis 16 erläutert. 13 ist eine Schnittansicht durch eine Kammer der vorliegenden Erfindung, die die Gasverteilungsanordnung 300 zeigt. Das Gasverteilungssystem hat insgesamt einen Gasring 310, der zwischen dem unteren Teil des Doms und der oberen Fläche des Kammergehäuses angeordnet ist, sowie eine zentral angeordnete mittige Gaszuführung 312, die durch die Oberseite des Doms hindurch angeordnet ist. In die Kammer werden Gase sowohl durch am Umfang angeordnete Gasdüsen 302, 304, die sich in der Nähe des Bodens des Doms 32 befinden, und durch eine zentral angeordnete Gasdüse 306 eingeführt, die sich in der oberen Platte des Doms befindet. Ein Vorteil dieser Ausgestaltung besteht darin, dass eine Vielzahl von unterschiedlichen Gasen in die Kammer an ausgewählten Stellen innerhalb der Kammer über die Düsen 302, 304, 306 eingeführt werden kann. Zusätzlich können andere Gase, wie Sauerstoff oder eine Kombination von Gasen, längs Seitendüsen 306 durch einen Gaskanal 308 eingeführt werden, der um die Düse 306 angeordnet ist, und mit den anderen Gasen vermischt werden, die in die Kammer eingeführt werden.
  • Der Gasverteilungsring und der zentral angeordnete Gasverteiler werden nachstehend getrennt beschrieben.
  • Der Gasverteilungsring 310 ist insgesamt ein Ring aus Aluminium oder einem anderen geeigneten Material 314, in dem eine Vielzahl von Öffnungen für die Aufnahme von Düsen ausgebildet sind und die in Verbindung mit einem oder mehreren Gaskanälen 316, 318 stehen. Vorzugsweise gibt es wenigstens zwei getrennte Kanäle, die in dem Gasring ausgeführt sind, um wenigstens zwei separate Gase in die Kammer zu führen. Jede der Öffnungen für die Aufnahme der Düsen ist mit wenigstens einem der Gasverteilungskanäle 316, 318 verbunden, die in dem Ring ausgebildet sind. Bei einer Ausgestaltung der Erfindung sind abwechselnde Öffnungen mit einem der Kanäle verbunden, während die anderen Öffnungen mit dem anderen Kanal verbunden sind. Diese Anordnung ermöglicht beispielsweise die getrennte Einführung von gesonderten Gasen in die Kammer, beispielsweise von SiH4 und O2.
  • 14 ist eine Schnittansicht, die einen ersten Gaskanal 316 zeigt, der an eine Öffnung 314 angeschlossen ist, die eine darin angeordnete Düse 302 aufweist. Wie gezeigt, ist der Gaskanal 316 in der oberen Fläche der Kammergehäusewand ausgebildet und ist vorzugsweise ringförmig um den gesamten Umfang der Kammerwand vorgesehen. Der ringförmige Gasring hat einen ersten Satz von Kanälen 320, die in Längsrichtung innerhalb des Rings angeordnet sind und die mit jeder der Öffnungen 314 verbunden sind, die für die Verteilung des Gases in dem Kanal vorgesehen sind. Wenn der Gasring über dem Gaskanal angeordnet ist, stehen die Durchgänge mit dem Kanal in Verbindung. Der Gasverteilungsring ist an der oberen Fläche der Kammerwand über zwei gesondert angeordnete O-Ringe 322, 324 abgedichtet, die außerhalb von dem Kanal angeordnet sind, um einen Gasleckstrom ins Innere der Kammer zu unterbinden. Innerhalb des Kanals ist in einer Aussparung 328 eine Teflon-Dichtung 326 oder dergleichen angeordnet, um einen Gasleckstrom in die Kammer zu verhindern.
  • Die Düsen 302, 304, die in den Öffnungen 314 angeordnet sind, sind vorzugsweise mit Gewinde versehen und passend für die Gewinde in der Öffnung, um eine Abdichtung dazwischen bereitzustellen und einen schnellen und leichten Austausch zu ermöglichen. Die verengende Öffnung 330 befindet sich im Ende jeder Düse und kann so ausgewählt werden, dass sie die gewünschte Verteilung des Gases in der Kammer bereitstellt.
  • 15 ist eine Schnittansicht, die den zweiten Gaskanal 318 zeigt. Der zweite Gaskanal 318 ist in dem oberen Teil des Gasverteilungsrings ausgebildet und in ähnlicher Weise in einem Ringaufbau um den Umfang des Gasverteilungsrings angeordnet. Ein horizontal angeordneter Durchgang 332 verbindet den zweiten Gaskanal mit einer oder mehreren Öffnungen, die in dem Gasring ausgebildet sind und in denen zusätzliche Gasdüsen angeordnet sind. Die erhaltene obere Fläche des zweiten Gaskanals wird von dem Abschnitt des Deckels gebildet, der den Dom 32 trägt und der an der Oberseite der Basisplatte 33 abgedichtet ist. Der Gasring 310 ist mit der Basisplatte 33 verbolzt, die an dem Kammergehäuse angelenkt ist.
  • Ein Vorteil der vorliegenden Erfindung besteht darin, dass der Gasverteilungsring leicht entfernt und durch einen Ring ausgetauscht werden kann, der Öffnungen hat, die für die Aufnahme und Positionierung der Spitzen der Düsen mit verschiedenen Winkeln ausgebildet sind, so dass das Verteilungsmuster der Gase eingestellt werden kann. Mit anderen Worten, für bestimmte Anwendungen kann es vorteilhaft sein, einige der Gasdüsen nach oben in die Kammer im Winkel zu richten oder umgekehrt einige von ihnen in der Kammer nach unten. Die in dem Gasverteilungsring ausgebildeten Öffnungen können so ausgefräst sein, dass ein gewünschter Winkel gewählt werden kann, um die gewünschten Prozessergebnisse bereitzustellen. Wenn wenigstens zwei Gaskanäle vorgesehen sind, die wenigstens zwei Gase getrennt in die Kammer abgeben können, ist eine bessere Steuerung der Reaktion möglich, die sich zwischen den unterschiedlichen Gasen einstellt. Weiterhin kann die Reaktion der Gase in der Gasverteilungsanordnung durch die getrennte Abgabe der Gase in die Kammer unterbunden werden.
  • 16 ist eine Schnittansicht, die die zentrale Gasführung 312 zeigt, die durch den Dom 32 hindurchgehend angeordnet ist. Die obere Gaszuführung 312 ist vorzugsweise ein verjüngter Aufbau mit einer Basis 334, die an der Oberseite des Doms angeordnet ist, und mit einem verjüngten Körper 336, der in einer in dem Dom ausgebildeten Aussparung angeordnet ist. Zwei gesonderte O-Ringe 336, 338, von denen sich der eine an der unteren Fläche des verjüngten Körpers 336 und der andere an der Seitenfläche des verjüngten Körpers 338 zum unteren Ende hin befindet, sorgen für eine abdichtbare Berührung zwischen der Gaszuführung 312 und dem Dom der Kammer. An dem unteren Teil des Körpers der oberen Gaszuführung ist eine Öffnung 340 für die Aufnahme einer Düse 336 zur Abgabe von Gasen in die Kammer ausgebildet. Durch die Gaszuführung 310 hindurchgehend ist wenigstens ein Gaskanal 342 angeordnet, der mit der Öffnung zur Abgabe von Gasen an die Rückseite der Düse verbunden ist. Zusätzlich ist die Düse 306 verjüngend ausgebildet, und die Öffnung 340 bildet einen zweiten Gaskanal 308, der Gas längs der Seite der Düse 306 in die Kammer abgibt. Durch die Gaszuführung 312 hindurchgehend ist ein zweiter Gaskanal 304 angeordnet, um Gas in den Kanal 308 abzugeben. Längs der Seite eine Gases, wie SiH4, kann ein Gas, wie Sauerstoff, zugeführt werden.
  • 17 ist eine auseinander gezogene Ansicht, die die Basisplatte 33 der Deckelanordnung und den Gasverteilungsring 310 zeigt. In dem unteren Teil der Basisplatte 33 ist ein Kanal 350 für die Aufnahme des Gasverteilungsrings 310 ausgebildet. Der Gasring 310 ist mit der Basisplatte 33 verbolzt oder auf andere Weise verbunden. Die Basisplatte ist an dem Kammergehäuse angelenkt.
  • Eine erste Gasquelle 352 und eine erste Gassteuerung 354 steuern den Eintritt eines ersten Gases über eine Leitung 356 in einen ersten Gaskanal 316, der in der Kammerwand ausgebildet ist. In gleicher Weise führen eine zweite Gasquelle 358 und eine zweite Gassteuereinrichtung 360 ein zweites gewünschtes Gas über eine Leitung 362 in den zweiten Kanal 318, der in dem Gasverteilungsring ausgebildet ist.
  • Eine dritte Gasquelle 364 und eine dritte Gassteuereinrichtung 366 führen ein drittes Gas über eine Leitung 368 zu einem Gaskanal, der in der Oberseite der Kammer angeordnet ist. Eine vierte Gasquelle 370 und eine vierte Gassteuereinrichtung 372 führen ein viertes Gas über eine Leitung 374 zum Gaskanal 308. Das durch die dritte Gasdüse und die vierte Gasdüse 64 eingeführte Gas und O2 werden im oberen Teil der Kammer gemischt, wenn beide Gase in die Kammer eintreten.
  • Plasma-Fernreinigungssystem
  • Die Fernplasmaquelle hat insbesondere eine entfernt liegende Kammer mit einem Gaseinlass, einem Gasauslass, einer Leistungsquelle, die mit der Kammer über einen Wellenleiter verbunden ist, und einem Applikatorrohr, das durch die Kammer hindurchgehend zwischen dem Gaseinlass und dem Gasauslass angeordnet ist. 18 zeigt eine schematische Ansicht einer Fernplasmaquelle 500, die mit einer Kammer verbunden ist. Eine Kammer 502, die zylindrisch ist und vorzugsweise aus Aluminium besteht, hat einen Gaseinlass 504 und einen Gasauslass 506, die an gegenüberliegenden Enden angeordnet sind. Die Kammer wird vorzugsweise gekühlt, wofür entweder ein Gebläse verwendet wird, das durch eine Wand der Kammer hindurchgehend angeordnet ist, oder wofür ein Fluidkühlsystem benutzt wird, beispielsweise eine Reihe von Windungen mit einem Wärmeübertragungsfluid, wie Wasser, das durch sie hindurchströmt. Ein Applikatorrohr 508, beispielsweise ein Saphirrohr, oder ein anderes für Energie durchlässiges Rohr ist zwischen dem Gaseinlass und dem Gasauslass in der Kammer 502 angeordnet. Eine wassergekühlte Förderleitung 510 verbindet den Gasauslass mit einem Gaskanal 28, der in dem unteren Teil der Behandlungskammer 10 ausgebildet ist. Mit der Kammer ist über einen Wellenleiter 512 eine Leistungsquelle gekoppelt. Eine Fernplasmaquelle, die zum Vorteil der vorliegenden Erfindung verwendet werden kann, ist in der EP-A-O 687 467 beschrieben.
  • Vorzugsweise wird Leistung im Bereich von etwa 2000 W bis etwa 5000 W in die Kammer 502 abgegeben. Es sollte die optimale Leistung verwendet werden, die für die Dissoziation des Gases erforderlich ist. Jede zusätzliche Leistung ist verschwendet und wird gewöhnlich zur Erzeugung von zusätzlicher Wärme verwendet. Eine geringere Leistung als die optimale führt zu einer unvollständigen Dissoziation des Reinigungsgases und einer Verringerung der Reinigungsrate und des Wirkungsgrads. Bei einer Ausführungsform wird eine einzige Leistungsquelle verwendet, um sowohl die Quellenantenne als auch die Fernplasmakammer zu betreiben.
  • Man nimmt an, dass die Reinigungsreaktionen in der Kammer, die äußerst schnell ablaufen, von dem Typ 4F*(Gas) + SiO36 SiF4(Gas) + O2(Gas) und 2F* + SiO2(Gas) 6 SIF2(Gas) + O2(Gas) sind, wobei gasförmige Produkte erzeugt werden, die aus der Kammer 13 durch Vakuumpumpen der Gasphase entfernt werden. Die Reaktionsteilnehmergase, die bei der Erzeugung hoher Konzentrationen von langlebigen erregten neutralen Fluorspezies F* äußerst effektiv sind, sind NF3, F2, SF6, CIF3, CF4 und C2F6. Es können jedoch auch andere Reinigungsgase verwendet werden, die durch Mikrowellen erregbar sind und mit Abscheidungsmaterial in der Kammer reagieren. Für das Mikrowellen-Fernreinigungssystem von 9 in der vorliegenden Erfindung wird die Verwendung von NF3 und F2 verdünnt auf Konzentrationen von etwa 10% bis etwa 50% in inertem Argongas bevorzugt. Die gewünschten Reinigungsreaktionen, die durch die Verwendung der Fernplasmaquelle erzeugt werden, schreiten ohne irgendein Ionenbombardement der Kammer oder der Substratträgeraufbauten fort, so dass die Notwendigkeit für eine Abdeckung der Wafer auf dem ESC 104 oder ein periodischer Austausch von kritischen Kammeranordnungen vermieden wird. Dadurch wird ein weitaus effizienterer Einsatz und Durchsatz des Systems erreicht.
  • 18 zeigt auch die Förderkanäle für das Reinigungsgas, die in den Kammerwänden ausgebildet sind. Das Gas wird von der entfernt liegenden Quelle 500 zu einem ersten Gaskanal 28 gefördert, der horizontal in der Rückwand 520 der Kammer angeordnet ist. Der erste Gaskanal 28 erstreckt sich über der Länge der Rückwand und fördert Gase zu gegenüberliegenden Seiten der Kammer. In dem unteren Teil der Kammer ist ein zentraler Gasanschluss 522 ausgebildet, der den ersten Gaskanal 28 mit der Förderleitung 510 verbindet. In jeder der Seitenwände der Kammer ist ein zweiter Gaskanal 524 ausgebildet, der in einer Schlitzöffnung 526 in der Kammer endet. Eine Eckabdeckung ist mit einem Kanal versehen, der darin ausgebildet ist, um die Enden des ersten Gaskanals 28 mit jedem der Seitengaskanäle 524 zu verbinden, die in den Seitenwänden ausgebildet sind. Die Eckenabdeckung ist vorzugsweise in ihrer Position an dem Kammergehäuse angeschweißt und erleichtert die Gaszuführung durch das Kammergehäuse zu den Schlitzöffnungen 526 in der Kammer.
  • In den Schlitzöffnungen 526 der zweiten Gaskanäle 524 ist zur Führung der Reinigungsgase in die Kammer vorzugsweise ein erstes Gasausbreitelement 528 angeordnet. 19 ist eine Draufsicht auf das Gasausbreitelement 528 und zeigt die gekrümmten Seitenflächen 530, 532, die die Reinigungsgase zu gegenüberliegenden Seiten der Kammer fördern. Die gekrümmten Flächen 530, 532 sind quer über die zweiten Gaskanäle 524 angeordnet, um die Gase nach außen in die Kammer zu führen.
  • 20 ist eine Seitenansicht des Gasausbreitelements 528. Der hintere Teil 534 des Gasdiffusors verjüngt sich, damit Gase über den in dem Kanal 524 angeordneten Gasdiffusor hinaus so gehen können, dass Gas in beide Seiten der Kammer geführt wird. In einem Ende des Gasdiffusors ist eine Aussparung 536 für einen Keileingriff des Diffusors in einer Position in dem Gaskanal ausgebildet. Für das Einpassen in die Aussparung ist ein Keil 538 vorgesehen, während eine Schraube den Keil in der Aussparung zwangsweise in Position hält und den Keil mit dem Diffusor und den Diftusor mit dem Kammergehäuse verbindet.
  • Bei einer alternativen Ausführungsform kann eine Gasumlenkung in der Kammer angrenzend an jede Schlitzöffnung 526 in der Kammer angeordnet werden, um die Reinigungsgase nach oben und über das Prozesszubehör und den ESC 104 zu leiten. 21 zeigt eine perspektivische Ansicht einer Umlenkung 540, die an dem Gasdiffusor 528 über einen Flansch 542 montiert ist. Der Körper 544 der Umlenkung bildet eine gekrümmte Fläche 546, die etwas nach oben im Winkel angeordnet ist, wenn sie in der Kammer positioniert ist, um die Reinigungsgase zwangsweise nach oben in der Kammer und über den ESC 104 und das Prozesszubehör zu führen.
  • Es hat sich gezeigt, dass der Reinigungsprozess besonders effizient ist, wenn die Reinigungsgase in die Kammer von oberhalb des ESC und des Prozesszubehörs eintreten. Zusätzlich wird bevorzugt, dass die Gase in der Kammer nach oben und von dem ESC und dem Prozesszubehör wegströmen, um zu verhindern, dass Reinigungsgase Teilchen oder Reste, die sich während des Reinigungsprozesses gelöst haben, auf den ESC zu schieben. Wenn Teilchen auf dem ESC zurückbleiben, nimmt die Wahrscheinlichkeit zu, dass ein Heliumleckstrom während des Haltens auftritt. Die Umlenkung lenkt den Gasstrom nach oben und verstärkt die Reinigung und verhindert eine Ablage von Teilchen auf dem ESC.
  • Obwohl sich das Vorstehende auf die bevorzugte Ausgestaltung der vorliegenden Erfindung bezieht, können andere und weitere Ausgestaltung der Erfindung bewerkstelligt werden, ohne den Grundrahmen davon zu verlassen, wobei der Rahmen durch die folgenden Ansprüche bestimmt ist.

Claims (11)

  1. Vorrichtung (10) zum Behandeln von Substraten a) mit einer Kammer (12), die (i) eine Kammerseitenwand (18), (ii) einen Deckel (14), der an einem Ende der Seitenwand (18) angeordnet ist und einen energieübertragenden Dom (32) mit einem Domoberteil (68) und einer Domseitenwand (66) hat, und (iii) einen Boden aufweist, der am gegenüberliegenden Ende der Seitenwand (18) angeordnet ist, b) mit einem Substratträgerelement-Kragarm (96), der an der Seitenwand (18) angebracht ist, c) mit einem oder mehren Gaseinlässen (306, 308), die durch den Deckel (14) hindurch für die Zuführung von einem oder mehreren Prozessgasen in die Kammer (14) angeordnet sind, d) mit einem oder mehreren Gaseinlässen (302, 304), die durch die Seitenwand hindurch für die Zuführung von einem oder mehreren Prozessgasen in die Kammer (12) angeordnet sind, e) mit einer ersten HF-Wicklung (74), die um die Domseitenwand (66) herum und daran angrenzend angeordnet ist, und f) mit einem Auslasskanal (54), der im Boden der Kammer (12) angeordnet ist, gekennzeichnet g) durch eine zweite HF-Wicklung (72), über dem Domoberteil (68) und daran angrenzend angeordnet ist, h) durch eine erste Frequenzabstimmungs-HF-Leistungsquelle (78), die mit der ersten HF-Wicklung (74) verbunden ist, und i) durch eine zweite Frequenzabstimmungs-HF-Leistungsquelle (76), die mit der zweiten HF-Wicklung (72) verbunden ist, wobei jede der ersten und zweiten Frequenabstimmungs-HF-Leistungsquelle eine Steuerschaltung hat, die so ausgelegt ist, dass sie eine Frequenz der ersten bzw. zweiten HF-Leistungsquelle so ändert, dass die reflektierte, Leistung minimiert wird.
  2. Vorrichtung nach Anspruch 1, bei welcher der Dom (32) aus einem dielektrischen Material besteht.
  3. Vorrichtung nach Anspruch 2, bei welchem das Material ein keramisches Material ist, das aus der Gruppe ausgewählt wird, die aus AI2O3, AIN, SiO2 oder Kombinationen davon besteht.
  4. Vorrichtung nach Anspruch 1, bei welcher die erste Wicklung (74) eine Wendelwicklung ist, die um die Seite des Deckels (34) herum gelegt ist, während die zweite Wicklung (72) eine Flachwicklung ist, die angrenzend an die Oberseite des Deckels (34) angeordnet ist, wobei die auf der Oberseite des Deckels angeordnete Flachwicklung einen Durchgang bildet, durch den hindurch eine oder mehrere Gaseinlässe angeordnet werden können, und wobei der von der zweiten Wicklung (72) gebildete Durchgang zentral über den Substratträgerelementen (16) angeordnet ist.
  5. Vorrichtung nach Anspruch 1, welche weiterhin einen Leistungsteiler aufweist, der eine Eingangsleitung und eine erste und eine zweite Ausgangsleitung hat, und der auf ein Steuersignal anspricht, das bestimmt, wie der Leistungsteiler die Leistung auf die erste und zweite Ausgangsleitung verteilt.
  6. Verfahren zur Behandlung eines Substrats, bei welchem a) das Substrat auf einem Substratträgerelement angeordnet wird, das kragarmartig an einer Seitenwand (18) der Behandlungskammer (12) angebracht ist, b) ein erstes Gas durch ein erstes Gasverteilungssystem (302, 304) eingeführt wird, das am Umfang um eine Innenfläche der Seitenwand (18) zwischen einem Kammerdeckel (14) und dem Substratträgerelement angeordnet ist, wobei der Kammerdeckel (14) einen Energie übertragenden Dom (32) aufweist, c) ein zweites Gas durch ein zweites Gasverteilungssystem (306, 308) eingeführt wird, das durch den Deckel (14) hindurch angeordnet ist, d) ein Plasma des ersten und zweiten Gases erzeugt wird, die in die Kammer eingeführt werden, wobei eine erste Frequenzabstimmungs-HF-Leistungsquelle (78), die mit einer ersten HF-Wicklung (74) verbunden ist, die auf der Außenfläche des Deckels (14) angrenzend an die Domseitenwand (66) des Doms (32) angeordnet ist, und eine zweite Frequenzabstimmungs-HF-Leistungsquelle (76), die mit einer zweiten Wicklung (72) verbunden ist, die auf einer Außenfläche des Deckels (14) angrenzend an ein Domoberteil (68) des Doms (32) angeordnet ist, wodurch ein Prozess, ausgewählt aus der Gruppe, die das Abscheiden und das Ätzen aufweist, auf dem Substrat ausgeführt wird, wobei jede der ersten und zweiten Frequenzabstimmungs-HF-Leistungsquelle eine Steuerschaltung hat, die so ausgelegt ist, dass sie eine Frequenz der ersten bzw. zweiten HF-Leistungsquelle so ändert, dass die reflektierte Leistung minimiert wird und e) die Gase durch einen Auslasskanal (54) abgeführt werden, der an ein dem Deckel (14) gegenüberliegendes Ende angeschlossen ist.
  7. Verfahren nach Anspruch 6, welches weiterhin das Steuern einer Temperatur des Substrats aufweist das auf dem Substratträgerelement unter Verwendung eines elektrostatischen Doppelzonen-Spannfutters angeordnet ist.
  8. Verfahren nach Anspruch 6, welches weiterhin das Entfernen des Substrats aus der Kammer (12) und das Reinigen der Kammer (12) unter Verwendung des Plasmas aufweist, das von einer entfernten Plasmaquelle (500) zugeführt wird.
  9. Verfahren nach Anspruch 8, bei welchem die entfernte Plasmaquelle angeregte Fluoratome aus einem Reinigungsgas zuführt, das aus der Gruppe ausgewählt wird, die aus NF3, F2, SF6 und CIF3 besteht.
  10. Verfahren nach Anspruch 9, bei welchem die angeregten Fluoratome in dem Reinigungsgas mit Argongas auf eine Konzentration zwischen etwa 10% bis etwa 50% verdünnt werden.
  11. Verfahren nach Anspruch 6, welches weiterhin die Steuerung einer Temperatur des Kammerdeckels (14) aufweist.
DE69727624T 1996-07-15 1997-07-15 Induktiv gekoppelter HDP-CVD-Reaktor Expired - Lifetime DE69727624T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/679,927 US6170428B1 (en) 1996-07-15 1996-07-15 Symmetric tunable inductively coupled HDP-CVD reactor
US679927 1996-07-15

Publications (2)

Publication Number Publication Date
DE69727624D1 DE69727624D1 (de) 2004-03-25
DE69727624T2 true DE69727624T2 (de) 2004-10-14

Family

ID=24728963

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69727624T Expired - Lifetime DE69727624T2 (de) 1996-07-15 1997-07-15 Induktiv gekoppelter HDP-CVD-Reaktor

Country Status (6)

Country Link
US (2) US6170428B1 (de)
EP (1) EP0819780B1 (de)
JP (2) JP4688983B2 (de)
KR (1) KR100268158B1 (de)
DE (1) DE69727624T2 (de)
TW (1) TW363212B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006028977B4 (de) * 2006-06-23 2012-04-12 Qimonda Ag Sputterdepositions-Vorrichtung

Families Citing this family (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6797188B1 (en) * 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
EP0926716B1 (de) * 1997-12-17 2003-07-16 Tokyo Electron Limited Verfahren zur Behandlung eines Halbleitersubstrates
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
WO1999054521A2 (en) * 1998-04-21 1999-10-28 Applied Materials, Inc. Method and apparatus for modifying the profile of high-aspect-ratio gaps using differential plasma power
US6200911B1 (en) 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
KR100296137B1 (ko) * 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6395095B1 (en) 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
AU1490301A (en) * 1999-11-15 2001-05-30 Lam Research Corporation Temperature control system for plasma processing apparatus
US6302966B1 (en) 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
US6461438B1 (en) 1999-11-18 2002-10-08 Tokyo Electron Limited Heat treatment unit, cooling unit and cooling treatment method
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
WO2001051680A1 (en) * 2000-01-12 2001-07-19 Tokyo Electron Limited Vacuum processing apparatus
KR100704591B1 (ko) * 2000-03-21 2007-04-09 주성엔지니어링(주) Cvd 장치 및 그 내부 세정방법
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
DE10024699A1 (de) * 2000-05-18 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
US6559052B2 (en) * 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6843258B2 (en) 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
WO2002061179A1 (en) * 2001-01-19 2002-08-08 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
US7510664B2 (en) 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
US20020144706A1 (en) * 2001-04-10 2002-10-10 Davis Matthew F. Remote plasma cleaning of pumpstack components of a reactor chamber
KR100397047B1 (ko) * 2001-05-08 2003-09-02 삼성전자주식회사 정전척의 냉각장치 및 방법
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6577113B2 (en) 2001-06-06 2003-06-10 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
US6936842B2 (en) * 2001-06-27 2005-08-30 Applied Materials, Inc. Method and apparatus for process monitoring
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US7816188B2 (en) * 2001-07-30 2010-10-19 Sandisk 3D Llc Process for fabricating a dielectric film using plasma oxidation
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
DE10141142B4 (de) * 2001-08-24 2004-11-11 Roth & Rau Ag Einrichtung zur reaktiven Plasmabehandlung von Substraten und Verfahren zur Anwendung
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6660177B2 (en) 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
DE10216786C5 (de) * 2002-04-15 2009-10-15 Ers Electronic Gmbh Verfahren und Vorrichtung zur Konditionierung von Halbleiterwafern und/oder Hybriden
US20080190558A1 (en) * 2002-04-26 2008-08-14 Accretech Usa, Inc. Wafer processing apparatus and method
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US20030224619A1 (en) * 2002-06-04 2003-12-04 Yoshi Ono Method for low temperature oxidation of silicon
JP3820188B2 (ja) 2002-06-19 2006-09-13 三菱重工業株式会社 プラズマ処理装置及びプラズマ処理方法
TWI235433B (en) * 2002-07-17 2005-07-01 Tokyo Electron Ltd Oxide film forming method, oxide film forming apparatus and electronic device material
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7604708B2 (en) * 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US7371992B2 (en) 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
KR100783829B1 (ko) * 2003-05-02 2007-12-10 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마처리장치
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
KR100526928B1 (ko) * 2003-07-16 2005-11-09 삼성전자주식회사 식각장치
JP2005063760A (ja) * 2003-08-08 2005-03-10 Sekisui Chem Co Ltd プラズマ処理方法および処理装置
KR20060064047A (ko) * 2003-08-08 2006-06-12 세키스이가가쿠 고교가부시키가이샤 플라즈마 처리 방법 및 장치
JP4532948B2 (ja) * 2004-03-19 2010-08-25 積水化学工業株式会社 プラズマ処理方法
US7297892B2 (en) * 2003-08-14 2007-11-20 Rapt Industries, Inc. Systems and methods for laser-assisted plasma processing
US7304263B2 (en) * 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050079729A1 (en) * 2003-10-08 2005-04-14 Woo-Sung Jang High density plasma oxide film deposition apparatus having a guide ring and a semiconductor device manufacturing method using the same
KR20060120707A (ko) * 2003-12-15 2006-11-27 어플라이드 머티어리얼스, 인코포레이티드 Cvd 막 특성들의 개선을 위한 에지 플로우 면판
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
WO2005108182A2 (en) * 2004-04-30 2005-11-17 Pdp Compass, Llc Foldable stroller
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US20060024451A1 (en) * 2004-07-30 2006-02-02 Applied Materials Inc. Enhanced magnetic shielding for plasma-based semiconductor processing tool
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060049036A1 (en) * 2004-09-09 2006-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for real-time control and monitor of deposition processes
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
US20060075967A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR20060059305A (ko) * 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060185591A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US20060260645A1 (en) * 2005-02-22 2006-11-23 Appleyard Nicholas J Methods and apparatus for processing wafers
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20060238954A1 (en) * 2005-04-21 2006-10-26 Applied Materials, Inc., A Delaware Corporation Electrostatic chuck for track thermal plates
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7811411B2 (en) * 2005-08-09 2010-10-12 Applied Materials, Inc. Thermal management of inductively coupled plasma reactors
US7651587B2 (en) * 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
KR100842739B1 (ko) * 2006-05-02 2008-07-01 주식회사 하이닉스반도체 고밀도 플라즈마 증착 장치의 정전척
CN101460659B (zh) * 2006-06-02 2011-12-07 应用材料股份有限公司 利用压差测量的气流控制
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US20080029484A1 (en) * 2006-07-25 2008-02-07 Applied Materials, Inc. In-situ process diagnostics of in-film aluminum during plasma deposition
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7740706B2 (en) 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US20080299775A1 (en) * 2007-06-04 2008-12-04 Applied Materials, Inc. Gapfill extension of hdp-cvd integrated process modulation sio2 process
US7867921B2 (en) * 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
KR101046520B1 (ko) 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US7704897B2 (en) * 2008-02-22 2010-04-27 Applied Materials, Inc. HDP-CVD SiON films for gap-fill
EP2297377B1 (de) * 2008-05-30 2017-12-27 Colorado State University Research Foundation Auf plasma basierende chemikalienquellenvorrichtung und verfahren zu ihrer verwendung
JP2011521735A (ja) * 2008-05-30 2011-07-28 コロラド ステート ユニバーシティ リサーチ ファンデーション プラズマを発生させるためのシステム、方法、および装置
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
CN101351076B (zh) * 2008-09-16 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备
JP2012506620A (ja) * 2008-10-21 2012-03-15 アプライド マテリアルズ インコーポレイテッド チャンバをクリーニングするプラズマ発生源とプロセス
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
JP2010192197A (ja) * 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
DE102009044276A1 (de) * 2009-10-16 2011-05-05 Aixtron Ag CVD-Reaktor mit auf einem mehrere Zonen aufweisenden Gaspolster liegenden Substrathalter
US8222822B2 (en) * 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
WO2011119611A2 (en) * 2010-03-22 2011-09-29 Applied Materials, Inc. Dielectric deposition using a remote plasma source
EP2554028B1 (de) 2010-03-31 2016-11-23 Colorado State University Research Foundation Plasmavorrichtung mit flüssig-gas-schnittstelle
CA2794902A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5685405B2 (ja) * 2010-09-03 2015-03-18 株式会社日立ハイテクノロジーズ 真空処理装置
US10595365B2 (en) * 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
TWI661746B (zh) 2011-10-05 2019-06-01 應用材料股份有限公司 電漿處理設備及其蓋組件(一)
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8920888B2 (en) * 2012-04-04 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma process, film deposition method and system using rotary chuck
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
TWI474368B (zh) * 2012-12-27 2015-02-21 Metal Ind Res & Dev Ct 電漿處理系統及其射頻阻抗匹配裝置
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140273487A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
JP6595396B2 (ja) * 2016-04-21 2019-10-23 東京エレクトロン株式会社 プラズマ処理装置
JP6592394B2 (ja) * 2016-04-21 2019-10-16 東京エレクトロン株式会社 プラズマ処理装置の保守方法
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
KR102509014B1 (ko) 2017-05-31 2023-03-13 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화 챔버
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11955362B2 (en) 2017-09-13 2024-04-09 Applied Materials, Inc. Substrate support for reduced damage substrate backside
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
CN108048820A (zh) * 2017-12-22 2018-05-18 江苏鲁汶仪器有限公司 气相沉积设备和气相沉积方法
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
US11610792B2 (en) * 2019-08-16 2023-03-21 Applied Materials, Inc. Heated substrate support with thermal baffles
JP7032554B2 (ja) * 2019-12-25 2022-03-08 三菱電機株式会社 マイクロ波プラズマ処理装置
WO2022174919A1 (en) * 2021-02-19 2022-08-25 Applied Materials, Inc. Substrate support, method of processing a substrate, and processing system
WO2022230729A1 (ja) * 2021-04-26 2022-11-03 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US11917744B2 (en) * 2021-07-22 2024-02-27 Perkinelmer Scientific Canada Ulc Heat management for inductively coupled plasma systems
DE102022102768A1 (de) * 2022-02-07 2023-08-10 Stephan Wege Symmetrischer Prozessreaktor
JP7358576B1 (ja) 2022-07-21 2023-10-10 積水化学工業株式会社 成膜装置及び膜付きウェハの製造方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR890004881B1 (ko) * 1983-10-19 1989-11-30 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리 방법 및 그 장치
JPS63119525A (ja) * 1986-11-08 1988-05-24 Hitachi Electronics Eng Co Ltd プラズマcvd装置
US4878994A (en) * 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
JP2680338B2 (ja) * 1988-03-31 1997-11-19 株式会社東芝 静電チャック装置
EP0809283A3 (de) * 1989-08-28 1998-02-25 Hitachi, Ltd. Verfahren zur Behandlung von Scheiben
JP2775345B2 (ja) * 1989-12-15 1998-07-16 キヤノン株式会社 プラズマ処理法及びプラズマ処理装置
JP2635267B2 (ja) * 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5365057A (en) 1993-07-02 1994-11-15 Litton Systems, Inc. Light-weight night vision device
JP2764524B2 (ja) * 1993-09-28 1998-06-11 名古屋大学長 ラジカルの制御装置
JP3276023B2 (ja) * 1993-10-20 2002-04-22 東京エレクトロン株式会社 プラズマ処理装置の制御方法
JP3043217B2 (ja) * 1994-02-22 2000-05-22 東京エレクトロン株式会社 プラズマ発生装置
JP3172759B2 (ja) 1993-12-02 2001-06-04 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
EP0660449A3 (de) 1993-12-09 1997-01-08 Santa Barbara Res Center Elektrisches durchführung und dessen Herstellung sowie Gerät welches die durchführung verwendet.
TW293983B (de) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5463525A (en) * 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
EP0668608A1 (de) 1994-02-22 1995-08-23 Applied Materials, Inc. Elektrostatischer Substrathalter mit erosionsbeständiger Elektrodenverbindung
JP3276514B2 (ja) * 1994-04-26 2002-04-22 東京エレクトロン株式会社 プラズマ処理装置
ATE251798T1 (de) * 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
JPH07335563A (ja) * 1994-06-10 1995-12-22 Mitsubishi Electric Corp プラズマcvd装置
EP0697467A1 (de) 1994-07-21 1996-02-21 Applied Materials, Inc. Verfahren und Vorrichtung zur Reinigung einer Beschichtungskammer
JP3247270B2 (ja) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5767628A (en) * 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US5824604A (en) * 1996-01-23 1998-10-20 Mattson Technology, Inc. Hydrocarbon-enhanced dry stripping of photoresist
US5669975A (en) * 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6027601A (en) * 1997-07-01 2000-02-22 Applied Materials, Inc Automatic frequency tuning of an RF plasma source of an inductively coupled plasma reactor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006028977B4 (de) * 2006-06-23 2012-04-12 Qimonda Ag Sputterdepositions-Vorrichtung

Also Published As

Publication number Publication date
EP0819780A2 (de) 1998-01-21
TW363212B (en) 1999-07-01
DE69727624D1 (de) 2004-03-25
JP2008091938A (ja) 2008-04-17
KR980011769A (ko) 1998-04-30
US6182602B1 (en) 2001-02-06
KR100268158B1 (ko) 2000-12-01
EP0819780A3 (de) 1998-05-27
EP0819780B1 (de) 2004-02-18
US6170428B1 (en) 2001-01-09
JPH10116826A (ja) 1998-05-06
JP4688983B2 (ja) 2011-05-25

Similar Documents

Publication Publication Date Title
DE69727624T2 (de) Induktiv gekoppelter HDP-CVD-Reaktor
DE69710961T2 (de) Komponenten peripher zum Sockel im Wege des Gasflusses innerhalb einer Kammer zur chemischen Gasphasenabscheidung
DE69533928T2 (de) Kammer für CVD-Behandlungen
DE69527661T2 (de) Vorrichtung und Verfahren zur Substratbehandlung mittels Plasma
DE60219343T2 (de) Abstimmbares mehrzonen-gasinjektionssystem
DE69426463T2 (de) Mikrowellenplasmareaktor
DE69608873T2 (de) Vorrichtung zur Reduzierung von Rückständen in einer Kammer zur Behandlung von Halbleitern
EP0478908B1 (de) Verfahren und Anordnung zur gleichspannungs-bogenentladungsunterstützten, reaktiven Behandlung von Gut
DE69211508T2 (de) Verfahren und Geräte zur Einschränkung des Plasma-Ätzgebietes zur Erlangung präziser Formgestaltung von Substratöberflächen
DE69636286T2 (de) Plasmaunterstützter chemischer reaktor und verfahren
DE69635640T2 (de) Plasmabearbeitungsgerät
DE69734619T2 (de) Verfahren mit einem induktiv gekoppelten Plasmareaktor
DE69713080T2 (de) Apparatur zur gleichmässigen verteilung von plasma
DE69130897T2 (de) Vakuum-Behandlungsverfahren und Vorrichtung
DE69736977T2 (de) Vakuumkammer mit hohem durchfluss und modularen ausstattungselementen wie plasmaerzeugungsquelle, vakuumpumpe und/oder freitragendem werkstückträger
DE19506745C2 (de) Verfahren und Vorrichtung für reaktives Heisswand-Ionenätzen unter Verwendung einer dielektrischen oder metallischen Abschirmung mit Temperatursteuerung zur Erzielung von Prozeßstabilität
DE60033312T2 (de) Plasmabehandlungsvorrichtung und -verfahren
DE60221535T2 (de) Zwei-frequenz-plasmaätzreaktor mit unabhängiger kontrolle für dichte, chemie und ionenenergie
DE69807006T2 (de) Plasmabehandlungsvorrichtung mit einem mit ringförmigem Wellenleiter versehenen Mikrowellenauftragsgerät und Behandlungsverfahren
DE69927966T2 (de) Hochtemperatur-, mehrschicht-, legierungsheizanordnung
DE3587964T2 (de) Verfahren und Vorrichtung zur chemischen Abscheidung aus der Dampfphase mittels eines durch Magnetron verstärkten Plasmas.
DE69509248T2 (de) Gerät und verfahren zur in situ magnetron saübern von plasmareakter-kammern
DE69519008T2 (de) Plasmareaktor
DE69317518T2 (de) Verfahren und Gerät zur Erzeugung eines elektromagnetisch gekoppelten flachen Plasmas zum Ätzen von Oxyden
DE112008002015B4 (de) Weiterentwickelte Bearbeitungskammer für mehrere Werkstücke und Verfahren zu deren Erzeugung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition