KR100268158B1 - 유도 결합된hdp-cvd반응기 - Google Patents

유도 결합된hdp-cvd반응기 Download PDF

Info

Publication number
KR100268158B1
KR100268158B1 KR1019970034125A KR19970034125A KR100268158B1 KR 100268158 B1 KR100268158 B1 KR 100268158B1 KR 1019970034125 A KR1019970034125 A KR 1019970034125A KR 19970034125 A KR19970034125 A KR 19970034125A KR 100268158 B1 KR100268158 B1 KR 100268158B1
Authority
KR
South Korea
Prior art keywords
chamber
gas
substrate
matching network
support member
Prior art date
Application number
KR1019970034125A
Other languages
English (en)
Other versions
KR980011769A (ko
Inventor
프레드 씨. 레데커
모가담 파해드
히로지 하나와
데쯔야 이시까와
단 메이단
시지안 리
브라이언 루
로버트 스티저
약심 왕
마누스 웡
아쇽 신하
프레드 로무알드 노왁
카베 니아지
케네쓰 스미쓰
파벨 스타윅
파드마나밤 크리쉬하나라쥐
락스만 무루게쉬
켄트 로스만
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR980011769A publication Critical patent/KR980011769A/ko
Application granted granted Critical
Publication of KR100268158B1 publication Critical patent/KR100268158B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

본 발명은 웨이퍼상에 간극 충전 및 블랭킷 필름 증착이 가능한 도핑되거나 비도핑된 실리콘 이산화물의 증착 및 스퍼터링을 동시에 수행하는 HDP-CVD 장치를 제공하는 것이다. 본 발명의 장치는 플라즈마 공급원에 유도결합된 이중의 RF 영역, 상기 이중 영역의 가스 분포 시스템, 상기 장치 내의 온도 제어식 표면, 대칭 형상의 터보 분자로 펌핑처리된 챔버 몸체, 이중 냉각 영역의 정전기 척, 모든 세라믹/알루미늄 합금 챔버, 및 원격 플라즈마 챔버 정화 시스템을 포함한다.

Description

유도 결합된 HDP-CVD 반응기 {INDUCTIVELY COUPLED HDP-CVD REACTOR}
본 발명은 반도체 기판의 처리 장치 및 방법에 관한 것으로 특히, 기판상에 αC, αFC, SiN, SiON, 도핑되거나 비도핑된 SiO2, 및 BiN 필름의 증착을 위한 고밀도 플라즈마 (HDP)화학 증착(CVD) 장치에 관한 것이다.
화학 증착(CVD), 에칭, 반응성 이온 에칭 등과 같은 반도체 공정에 사용되는 플라즈마 장치는 플라즈마를 발생시키고 유지시키기 위해 유도 결합 또는 용량 결합을 사용한다. 용량 결합 플라즈마에 비해 유도 결합 플라즈마의 잇점은 유도 결합 플라즈마가 보다 작은 바이어스 전압에 의해 기판상에 발생되어 기판의 손상 가능성이 감소한다는 점이다. 게다가, 유도 결합 플라즈마는 높은 이온 밀도를 가짐으로써, 높은 증착 속도와 낮은 자유 행로를 제공하나, 용량 결합 플라즈마보다 보다 낮은 압력에서 작동한다. 상기 잇점은 처리 시에 정상적인 스퍼터링 또는 이온 방향성을 허용한다.
보다 최근에, 고밀도 플라즈마(HDP) CVD 공정은 화학 반응과 물리적 스퍼터링을 조합하는데 사용되었다. HDP-CVD 공정은 기판 표면에 근접한 반응 영역에 RF 에너지의 적용에 의해 반응 가스의 해리를 증진시켜, 높은 반응 이온 종의 플라즈마를 발생시킨다. Ar과 같은 비교적 비 반응성의 이온 구성 인자는 스퍼터링 수율 곡선 상에 기초한 필름의 프로파일을 따라 비표면적으로부터 선택적으로 증착된 필름 재료를 이동시키기 위해 사용되는 높은 운동량(e 전기장)이 주어진다. 해제된 이온 종의 높은 반응성은 화학 반응이 발생되기 위해 요구되는 에너지를 감소시키고 따라서, 상기 공정에 요구되는 온도를 하강시킨다.
대부분의 HDP-CVD 공정의 목적은 기판의 표면을 가로질러 균일한 두께의 필름을 증착하는 것이며, 기판상에 형성된 라인 및 다른 특성물 사이의 우수한 간극충전을 또한 제공하는 것이다. 증착 균일성 및 간극 비아 충전은 공급원 배치, 가스 흐름 변화, 공급원 RF 발생기 전력, 바이어스 RF 발생기 전력, 노즐 분포 대칭성, 노즐의 수, 기판 지지물과 상기 기판 지지물에 관련된 노즐의 측면 위치에 위치된 노즐의 높이를 포함한 가스 노즐 설계등에 매우 민감하다. 상기 변수는 상기 장치 변화 내에 시행된 공정 및 공정 가스 변화에 따라 변화한다.
반도체 공정에 있어 직면한 문제점은 기판위의 플라즈마 밀도 균일성의 발생 및 유지이다. 플라즈마 균일성은 장치 내부 또는 외부로 가스 흐름 및 장치 내부에 발생된 자기장 및 전기장에 따라 달라진다. 기판 크기가 300 mm로 증가함에 따라, 대형 면적 위의 균일성은 보다 성취하기 어렵다.
증착 균일성에 또 다른 영향을 주는 문제점은 기판 표면 위로의 불균일한 가스 분포이다. 일반적으로, 가스 충만 영역은 처리 영역의 주변부 둘레에 제공되며, 다수의 노즐은 기판 표면에 가스를 제공하기 위해 방사상으로 내부로 연장한다. 일부 응용에서, 상기 가스들은 기판 표면을 가로질러 불균일하게 분포되는 경향이 있는데, 기판의 엣지를 향해 더 많은 가스가 제공되며 기판의 중심을 향해 가스가 적게 제공된다. 게다가, 반응 가스는 챔버 내부로 유입되기 이전에 가스 주입 시스템 내에서 혼합된다. 상기 경우에 있어서, 재료는 가스 주입 시스템 자체 내에서 증착되는 경향이 있으며, 일부 가스 분사기의 엉김은 가스 분포의 불균일성을 높혀주는 결과를 초래한다.
직면한 또 다른 문제점은 기판 표면을 가로질러 균일한 온도를 유지시키는 것이다. 기판이 처리됨에 따라, 기판 표면에 노출된 플라즈마 방사 및 이온 충돌로 인해 심각한 열 부하가 발생한다. 만일, 온도 증감이 기판 표면을 가로질러 존재한다면, 필름의 증착은 불균일 방식으로 처리될 수 있다. 따라서, 기판의 온도를 정확하게 제어하는 것이 중요하다.
또 다른 문제점은 장치 자체에 있는 재료의 증착에 있다. 처리시에, 증착 재료는 장치 전체인 기판 지지물 부재상 및 가스 분포 성분 상에 증착한다. 시간이 흐름에 따라, 상기 재료 조성은 제조되는 장치의 보전을 손상시킬 수 있는 기판상에서 입자 오염을 초래하는 챔버 내부로 벗겨질 수 있다. 따라서, 상기 장치는 주기적으로 정화되어져야 한다. 바람직한 정화 방법은 챔버로부터 배출될 수 있는 제품을 형성하기 위해 증착된 재료와 반응하는 챔버 내부로 정화 가스를 유입시키는 것이다. 일반적으로, 불소 첨가 가스와 같은 정화 가스는 챔버 내부로 유입되고 플라즈마는 챔버 내에서 발생된다. 최종적으로 여기된 제품은 챔버로부터 배출되는 가스 상태의 부산물을 형성하기 위해 증착 재료와 반응한다. 상기 공정의 문제점은 플라즈마 인접한 영역에서 정화가 제한되어 있다는 것이다. 모두 노출된 챔버 표면의 정화를 증가시키기 위해, 정화 단계에 필요한 시간은 증가되어, 작업 처리량을 감소시키고 또한 정화 공정은 고온에서 시행되며, 챔버 표면 일부를 효과적으로 정화시키고 소모품의 비용 및 유지 간격을 증가시키는 것이다.
그러므로, 이와 같은 문제점들을 감안한 본 발명의 목적은 개선된 정화 특성과 높은 작업 처리량을 제공할 수 있으며, 보다 효율적인 방식으로 얇은 CVD 필름을 기판상에 형성하기 위한 보다 균일한 조건을 제공할 수 있는 반도체 기판의 처리 장치를 제공하는 것이다.
또한, 본 발명은 스퍼터링 및 1.2 : 1보다 높은 화상비를 갖는 0.5 마이크론 특성도(feature size)를 갖는 웨이퍼 상에 우수한 간극 충전 및 블랭킷 필름 증착이 가능한 도핑되거나 비도핑된 실리콘 이산화물을 사용하는 HDP-CVD 장치를 제공하는 것이다.
도 1은 본 발명의 가공 챔버의 횡단면도.
도 2a 내지 도 2c는 본 발명의 개선에 사용될 수 있는 3개의 다양한 RF 매칭 배치를 도시하는 전기 배선도.
도 3은 본 발명의 이중 영역의 RF 플라즈마 공급원을 도시한 개략적인 횡단면도.
도 4는 상층 온도 제어 조립체 및 상층 안테나의 분해도.
도 5는 본 발명의 기판 지지물 부재의 횡단면도.
도 6은 본 발명의 기판 지지물 부재의 상층 횡단면도.
도 7은 기판 지지물 부재를 갖는 챔버의 상층 횡단면도.
도 8a는 정전기 척의 일 실시예의 상부도.
도 8b는 정전기 척의 대체 실시예의 상부도.
도 9는 도 8a의 정전기 척의 일 실시예를 도시한 횡단면도.
도 10은 도 8a 및 도 9의 정전기 척의 온도 제어 양태를 도시하는 흐름도.
도 11은 정전기 척과 덮개 링의 횡단면도.
도 12는 공급원 코일에 근접하여 위치된 덮개 링의 횡단면도.
도 13은 본 발명의 가스 제어 시스템을 도시한 부분 단면도.
도 14는 가스 분포 링과 제 1 가스 채널을 도시한 부분 단면도.
도 15는 가스 분포링과 제 2 가스 채널을 도시한 부분 단면도.
도 16은 중심 가스 공급 조립체를 도시한 부분 단면도.
도 17은 가스 분포링과 리드 조립체의 기저판을 도시한 분해도.
도 18은 극초단파 원격 플라즈마 정화 장치 및 그 정화 장치의 챔버 상의 위치를 개략적으로 도시한 부분 단면도.
도 19는 가스 확산기의 상부도.
도 20은 가스 확산기의 측면도.
도 21은 가스 배플러의 사시도.
본 발명의 장치는 플라즈마 공급원로 유도 결합된 이중의 RF 영역, 이중 영역의 가스 분포 시스템, 온도 제어식의 챔버 성분, 대칭 형상의 터보분자로 펌핑된 챔버 몸체, 이중의 냉각 영역의 정전기 척, 모든 세라믹/알루미늄 합금 챔버, 및 원격 플라즈마 정화 시스템을 포함한다.
본 발명의 전술한 특성, 잇점 및 목적이 본 발명의 상세한 설명으로부터 보다 자세히 설명되어질 것이다.
상기 장치는 다음의 챔버 몸체, 챔버 리드 조립체, 음극 및 리프트 조립체, 처리 장비, 가스 분포 조립체 및 원격 플라즈마 공급원을 포함한 다음의 서브조립체의 각각을 참조로 하여 하단에 기술되어질 것이다.
챔버 몸체
도 1은 본 발명의 처리 장치(10)의 횡단면도이다. 처리 장치(10)는 챔버 몸체(12), 리드 조립체(14) 및 캔틸레버식의 이동 가능한 기판 지지 부재(16)를 포함한다. 상기 부재들은 조합식으로 물리적이고 전기적으로 대칭이며, 진공의 밀폐물 및 배출 통로(22)를 형성하며, 상기 통로 내에서 기판 처리가 행해진다.
챔버 몸체(12)는 바람직하게 내부의 환형 처리 영역(20)을 한정하고 동심의 배출 통로(22)를 한정하기 위해 하단부를 향해 테이퍼진 측벽(18)을 갖는 일체식의 기계가공된 구조물이다. 챔버 몸체(12)는 슬릿 밸브(44)와 측면 포오트(26)에 의해 밀폐된 적어도 하나의 기판 입구 포오트(24)를 포함하는 다수의 포오트를 한정하며 상기 포오트를 통해 기판 지지 부재(16)에 장착된 캔틸레버가 위치된다. 기판 입구 포오트(24) 및 지지 부재 포오트(26)는 바람직하게 챔버 몸체(12)의 양쪽 측면을 통해 위치된다. 두 개의 부가적인 측면 포오트는 기판 지지 부재(16)의 상부 표면의 높이에서 챔버 벽(18)의 양쪽 측면상에 위치되며 챔버 벽(18)내에 형성된 가스 채널(28)에 연결되어 있다. 해리된 불소 함유 가스와 같은 정화 가스는 멀리 떨어진 플라즈마 공급원(30)로부터 채널(28) 내부로 유입되며, 도 18에 도시되어진 가스 입구 포오트를 통해 챔버 내부로 유입된다. 챔버 내부로 포오트 개구 위치는 증강이 발생하는 반응기 영역을 향해 가스로 향하도록 제공된다. 멀리 떨어진 플라즈마 공급원 및 정화 가스는 하단에 보다 상세히 기술되어질 것이다.
챔버 벽(18)의 상부 표면은 편평한 착수 표면적을 한정하며 상기 표면적위에 리드 조립체(34)의 기저판(33)이 지지된다. 하나 이상의 O-링이 홈(36)은 세라믹 몸체(12)와 기저판(33) 사이의 밀폐식 밀봉을 형성하기 위해 하나 이상의 O-링(38)을 수용하는 벽(18)의 상부 표면내에 형성된다. 리드 조립체는 하단에 상세히 기술되어질 것이다.
기판 지지 부재(16)는 챔버 벽(18) 내에 형성된 측면 입구 포오트(26)를 통해 부분적으로 연장하며, 챔버의 중심내에 표면(200)을 수용하는 환형의 기판을 제공하기 위해 플랜지(46) 상의 챔버 벽(18)에 장착된다. 지지 부재(16)가 챔버 내에 위치되면, 환형의 지지 부재(16)의 외부 벽(50) 및 챔버의 내부 벽(52)은 지지 부재(16)의 전체 주변에 균일한 환형의 유체 통로(22)를 한정한다. 균일한 통로(22) 및 배기 포오트(54)는 지지 부재의 표면을 수용하는 기판과 동심인 것이 바람직하다. 배기 포오트(54)는 균일한 통로(22)를 통해 챔버 외부로 균일하게 가스를 빼내기 위해 지지 부재의 부분을 수용하는 기판 아래에 집중된다. 이는 전체 환경에 대해 기판 표면위로 보다 균일한 가스의 흐름을 가능하게 하고 챔버의 기저에 집중된 배기 포오트(54)를 통해 챔버로부터 방사상으로 내향 또는 외향으로 흐르도록 한다. 균일한 유체 통로(22)는 펌프 포오트와 관련하여 근접성을 달리한 기판 위치와 같은 처리 챔버가 거의 없는, 압력 및 체제 시간 균일의 유지함으로써 필름층의 균일한 증착을 증진시킨다.
펌프 스택은 챔버 내부에 압력 제어를 제공하기 위해 챔버 몸체의 테이퍼진 하부 상에 장착된 두 개의 블레이드 조절판 조립체(56), 게이트 밸브(58) 및 터보 분자 펌프(60)로 이루어진다. 두 개의 블레이드 조절판 조립체(56) 및 게이트 밸브(58)는 두 개의 블레이드 조절판 조립체(56)의 설정에 의해 결정되어진 것처럼 게이트 밸브(58)를 통해 단리시키고 0 내지 100 mTorr의 압력에서 압력 제어를 하기 위해 챔버 몸체(12)와 터보분자 펌프(60) 사이에 장착된다. 1600 L/sec의 터보 펌프는 바람직하나, 챔버내에서 소정의 압력을 이루기 위한 펌프가 사용될 수 있다. 터보 펌프에서 상하 위치에서 배기 포오트(54)에 전선(57)이 연결된다. 이는 역행의 펌프 출력을 제공한다. 상기 전선은 멀리 떨어진 주요 프레임 펌프, 러핑 펌프에 연결된다. 포오트(59)는 전선의 플랜지(61)에 장착되기 위해 펌프 스택내에 형성된다. 챔버 정화시에, 정화 가스는 고속에서 챔버 내부로 흘러 들어가며, 챔버 내의 압력을 증가시킨다. 본 발명의 하나의 실시 양태에서, 터보 펌프는 게이트 밸브(58)에 의해 챔버로부터 단리되고 주요 프레임 펌프는 정화시에 챔버내에 압력을 유지하는데 사용된다.
챔버내에서 기판의 처리하는 동안에, 진공 펌프는 4 내지 6 mTorr의 범위내의 압력에서 챔버를 진공화시키며, 처리 가스의 측정된 흐름은 가스 분포 조립체를 통해 챔버 내부로 공급된다. 챔버 압력은 챔버압을 직접 측정하고 펌프 속도를 조절하기위해 밸브를 개폐하는 조절기에 상기 정보를 공급함으로서 제어된다.
가스 흐름 및 농도는 처리 방법으로 제공된 소프트웨어 설정 위치를 통해 총량 흐름 조절기에 의해 직접적으로 제어된다. 배기 포오트(54)를 통해 챔버의 밖으로 펌핑처리되는 가스의 유량을 측정함으로써, 유입 가스 공급량에 (도시되지 않은) 총괄 흐름 제어기가 챔버내의 소정의 압력과 가스 농도를 유지하기 위해 사용된다.
챔버 리드 조립체
챔버 리드 조립체(34)는 기저판(33)에 장착된 힌지상에 지지된 에너지 전달 돔(32), 에너지 전달 조립체(62) 및 온도 제어 조립체(64)로 이루어진다. 기저판(33)은 위치된 가스 분포 링 내의 내부 환형의 채널을 한정한다. O-링의 그루브는 돔(32)과 가스 분배링의 상층을 밀폐하기 위해 O-링을 수용하도록 가스 분배 링의 상층내에 형성된다. 공동으로, 리드 조립체는 처리를 추진하기 위해 에너지 전달 시스템 뿐 아니라 플라즈마 처리 영역의 물리적인 밀폐를 제공한다. 덮개는 다양한 부품을 수용하기 위해 전체 리드 조립체위로 바람직하게 제공된다.
돔(32)은 평편한 상층(68)에 의해 한 단부에 밀폐된 원형의 측벽(66)으로 이루어진다. 원형의 측벽은 기판 지지 부재(16)의 상부 표면에 수직이며, 편평한 상층(68)은 상기 지지 부재(16)의 상부 표면과 평행하다. 측벽과 상층사이의 접합점(70)은 돔(32)의 굽어진 내부 벽을 제공하기 위해 둥근 형상이다. 돔(32)은 RF 에너지, 바람직하게 알루미늄 산화물(Al2O3), 알루미늄 질화물(AIN), 또는 석영(SiO2)과 같은 세라믹을 전달하는 절연 재료로 제조된다.
두 개의 개별적으로 동력화된 RF 코일, 상층 코일(72), 및 측면 코일(74)은 절연 돔(32)에 외부로 감긴다. 측면 코일(74)은 바람직하게 코일(72,74) 사이의 전기적 혼선을 감소시키기 위해 접지 차폐물에 의해 바람직하게 덮혀진다. RF 코일(72,74)은 두 개의 변이가능한 주파수 RF 공급원(76,78)에 의해 동력을 받는다.
각각의 동력 공급원은 반사된 동력을 최소화하기 위해 1.8 MHZ에서 작동하는 주파수를 일소하기 위해 RF 발생기내에 디지털 방식으로 제어된 합성장치를 조절하는 제어 회로를 포함한다. 플라즈마가 연소할 때, 회로 조건은 플라즈마가 코일과 평행하게 레지스터로서 작동하기 때문에 변화한다. 상기 단계에서, RF 발생기는 최소 반사 전력 위치에 도달할 때까지 연속적으로 주파수를 일소한다. 전력원 회로 설계는 각각의 와인딩 세트가 최소의 전력에 도달한 위치에서 주파수 또는 그 근처에서 울려퍼지도록 설계되어, 와인딩의 전압은 플라즈마를 유지하도록 충분한 전류를 구동하기에 충분히 높다. 따라서, 주파수 파장 조정은 시스템이 회로의 공명 위치가 처리시에 변화하더라도 공명에 근접하게 유지되도록 한다. 이와 같은 방식으로, 주파수 파장 조정은 (응축기 또는 유도자와 같은) 임피던스 매칭 부품의 값을 변화시킴으로서 회로 파장 조정 및 임피던스 메칭의 필요성을 감소시킨다.
각각의 전력원은 소정의 전력이 임의의 임피던스 부정합에도 불구하고 부하에 전달되게 하며, 플라즈마 임피던스내의 변화로 인해 발생할 수 있는 임피던스를 연속적으로 변화시킨다. 접합 전력이 부하에 전달됨을 보장하기 위해, 각각의 RF 발생기는 반사된 전력을 발산하며 전달된 전력이 소정의 수치에 유지되도록 출력 전력을 증가시킨다.
도 2(a), 도 2(b), 및 도 2(c)는 3개의 개별적인 RF 정합 배열을 개략적으로 도시하고 있다. 도 2(a)는 접지되어진 한 단부를 갖는 코일 L을 사용하기 위한 정합 배열을 도시하고 있다. 두 개의 응축기 C1, 및 C2는 RF 전압 분배기를 형성한다. 도 2(b)에서, 접지에 교차된 두 개의 연결된 C2 및 C3을 갖는 조화된 코일 L은 부하(플라즈마) 주파수를 정합시키기 위해 사용된다. 결국, 도 2(c)에서, 두 개의 변이가능한 응축기를 갖는 pi(B) 네트워크 정합은 코일 L을 가로질러 접지시키는데 사용된다. 대부분의 종래 RF 발생기의 출력 임피던스는 50 오옴으로 설계되어, 정합 네트워크 2(a),(b), 및 (c)는 (조화된 부하시에) 5 오옴에서 900 옴에 이르기까지 임피던스로 변화하는 플라즈마에 최대 전력을 전달하는데 사용된다. 상기 이중 코일 시스템은 반응 챔버내에 방사상 이온 밀도 프로파일의 제어를 허용한다.
도 3은 상층 코일(72) 및 측면 코일(74)용으로 코일 기하학 및 RF 공급기를 도시하는 챔버의 개략적인 측면도이다. 도 2(c)에 기술된 pi 네트워크 매칭 시스템은 도 3에 도시되어 있다. 랭뮤어 탐침(Langmuir probe)은 플라즈마를 발생시키기 위해 상층 코일과 측면 코일에서만 사용하는 챔버(13)를 가로지른 다른 위치에서 플라즈마 이온 밀도를 측정하기 위해 챔버(13) 내부로 유입된다. 적절하게 조정된 기판이 처리되어 질 때, 이중의 코일 배열은 상기 표면을 가로질러 균일한 이온 밀도를 발생시킬수 있다. 기판 표면을 가로질르는 균일한 이온은 웨이퍼상에 균일한 증착 및 간극 충전 성능에 기여하며 균일한 이온 밀도로 인해 장치 게이트 산화물의 플라즈마 충전을 경감시키도록 도와준다. 코일의 작용이 부가될 때, 균일한 이온 밀도 결과와 증착 특성은 광범위하게 개선된다.
돔(32)은 증착 및 정화와 같은 다양한 처리 사이클동안 돔의 온도를 조절하기 위해 온도 제어 조립체(64)를 포함한다. 도 4는 온도 제어 조립체(64)와 상층 코일(72) 의 분해도이다. 온도 제어 조립체는 서로 인접하게 위치된 가열판(80) 및 냉각판(82)을 포함하고, 바람직하게 그 사이에 위치된 그래호일(grafoil)과 같은 열 전도 재료의 얇은 층(84)를 갖는다. 바람직하게, 4 mil 내지 8 mil의 그래호일이 위치된다. AlN 판과 같은 열 전도판(86)은 코일(72)을 수용하기 위해 하부 표면내에 형성된 홈이 제공된다. 바람직하게 1 내지 4 mil 의 두께를 갖는 그래호일의 제 2 층(88)은 열 전도판(86)과 가열판(80)사이에 위치된다. 제 3 열 전도 층(90)은 코일(72)과 돔(32) 사이에 위치된다. 제 3 층은 4 내지 8 mil의 두께를 갖는 크로메릭(chromerics) 층을 갖는다. 열 전도 층은 돔(32)으로부터 열 전달을 용이하게 한다. 정화시에, 돔을 가열하는 것이 바람직하며, 처리시에는 돔을 냉각하는 것이 바람직하다. 그 결과, 열 전도 통로는 상기 잇점을 성취하기 위해 제공된다.
냉각판(82)은 흐르는 물과 같은 냉각 유체를 통해 그 내부에 형성된 하나 이상의 유체 통로를 포함한다. 냉각판 내의 수 채널은 챔버 몸체 내에 형성된 냉각 채널(88)과 직렬로 위치한다. 신속하게 연결을 해제하는 피팅을 갖는 푸쉬로크 형상의 러버 호스는 챔버 몸체와 리드내에 냉각 채널에 물을 공급한다. 반송 라인은 연결된 흐름 스위치로 선명한 유량계를 갖는다. 유량계는 약 60 psi의 압력에서 0.8 gpm 유량용 구경을 갖는다. 온도 센서는 온도를 측정하기 위해 돔 상에 장착된다. 가열 판(80)은 바람직하게 전화 상태에서 돔을 가열하기 위해 제공된 그 내부에 위치된 하나 이상의 저항성 가열 부재를 바람직하게 갖는다. 바람직하게, 상기 가열 판은 주물 알루미늄으로 제조되나, 상기 분야에서 공지된 다른 재료가 사용될 수 있다. 제어기는 돔의 온도를 조절하기 위해 온도 제어 조립체에 연결된다.
각각의 성분(80, 82, 84, 86,88)은 두 개의 채널을 한정하며, 두 채널을 통해 상층 코일(72)의 단부가 연장한다. 두 개의 절연 슬리브(94, 96)는 상기 절연 슬리브를 통해 연장하는 코일 리드를 절연시키기 위해 가열판(80), 냉각판(82), 및 그래호일 층내에 형성된 각각의 채널내에 위치된다. 절연 슬리브는 절연판(86)에서 밀봉을 제공하기 위해 상기 슬리브 하단부 상에 위치된 실리콘 흡입 컵을 포함한다.
직접 전도에 의해, 가열판(80) 및 냉각판(82)은 돔의 온도를 제어하기 위해 사용된다. 10 EK 내의 돔 온도의 제어는 웨이퍼 반복성, 증착 부착에 웨이퍼를 개선시키고 챔버내에 플레이크 또는 입자수를 감소시키는 것으로 나타났다. 돔의 온도는 처리 요구량에 따라 약 100 EC에서 200 EC의 범위내에서 유지된다. 기판상에 고도의 챔버 정화 속도(에칭 속도)와 보다 나은 필름 부착은 높은 돔 온도에서 얻어질 수 있다.
음극 및 리프트 조립체
음극 및 리프트 조립체는 도 5내지 도 10을 참조로 하여 기술되어질 것이다.
지지 부재는 챔버 내부에 위치하는 요소 및 챔버 외부에 위치하는 요소를 포함한다. 챔버 내부에 위치하는 지지 부재(16)의 요소는 챔버의 측벽(18) 내에 제공된 입구 포오트(26)를 통해 연장하며 챔버의 외부에 위치하는 요소에 의해 측벽에 지지된다. 도 5는 기판 지지물 부재(16)의 횡단면도이다. 지지물 부재(16)는 측벽에 부착되기 위한 플랜지(46), 상기 플랜지로부터 내향으로 방사상으로 연장하는 칸티레버식의 아암 부분(96), 및 칸티레버식의 아암(96)의 단부에 위치된 기판 수용 부분(98)을 포함한다. 플랜지(46)는 기판 지지물 부재 입구 포오트(26)에 대해 챔버 벽에 지지물 부재의 기저부(94)에 장착된다. 기저부(94)는 내부 곡선 벽 부분(51)을 한정하기 위해 플랜지(46)로부터 내향으로 연장한다. 곡선 벽(51)은 챔버의 총괄 내부 반경(R)과 동일한 반경(r)을 갖는 아크 또는 원의 형상이다. 원주 방향의 곡선 벽(51)의 표면은 챔버의 내부 벽(52) 인접한 곳에 수용된다. 챔버이 내부 벽(52)을 따른 곡선 벽(51)은 지지물 부재(16)가 도 7에 도시되어진 것처럼 처리시에 챔버내에서 위치될 때 대칭의 연속식 내부 챔버 벽을 형성한다.
칸틸레버식의 아암(96)은 상기 아암 상에 기판 수용 표면(96)을 갖는 ESC 수용 부분(98)을 지지하기 위한 기저부(94)의 하부로부터 내부로 연장한다. ESC 수용 부분(98)은 상향으로 연장하는 환형의 파이롯트(100)를 포함한다. 환형의 파이롯트(100)는 상기 파이롯트상에 절연 부재(102)를 지지하기 위해 내부 환형의 단계를 형성하는 대형의 내부 직경 부분 및 소형의 내부 직경 부분을 포함한다. ESC(104)는 기판 수용 표면(99)을 제공하기 위해 절연 판(102) 상에 바람직하게 지지되어 있다. ESC 수용 부분(98)의 외부 벽(50)은 연속적인 환형 표면을 한정한다.
ESC 수용 부분(98)은 위치되어 있는 기판 위치 조립체(110)내에 리세스(108)를 또한 한정한다. 바닥판(112)은 처리 환경으로부터 지지물 부재(16)의 내부 성분을 보호하기 위해 치형의 나사 조립체에 의해 수용 부분의 하부에 고정된다.
도 7은 챔버내에 위치된 지지물 부재(16)를 도시하는 상층 단면도이다. 캔틸레버식의 아암(96)은 챔버내에 ESC 수용 부분(98)을 지지하기 위해 대칭의 유체 통로(22)를 가로질러 연장한다. 컨틸레버식의 아암이 방사상 통로와 같은 유체 통로 또는 다수의 통로(114)를 포함함으로서 유체 통로(22)를 통해 흐르도록 유체의 중단, 제한 및 교란을 최소화하는 것이 바람직하다. 지지 아암(116)이 균일한 유체 통로를 통해 흐르는 유체의 중단, 제한 및 교란을 최소화하기 위해 관통하는 통로 또는 다수의 통로(118)를 포함한다.
유체의 중단, 제한 및 교란에 의해 야기된 기판이 표면 근처 가스상에 효과를 최소화하기 위해 ESC 수용 부분(98)의 바닥을 따라 기판 수용 표면으로부터 떨어진 위치에서 ESC 수용 부분(98)에 부착되는 것이 바람직하다. 더욱이, 유체 통로(22)내의 비균일성은 최소화되어야 하며 위치된 기판상에 유체의 흐름에 영향을 주지 않기 위해 ESC 수용 표면(98)으로부터 충분한 거리에 위치되어 있음이 바람직하다.
기판 리프트 조립체(120)는 ESC 수용 부재(98)와 정열되며 감겨진 장착판(123)상에 수용된 상기 부재의 외주부에 대해 이격된 다수의 방사상으로 연장하는 기판 지지 핀(122)을 포함한다. 장착판(123)은 지지물 부재(16) 내에 형성된 사각형의 리세스(124) 내에 위치되며, 수직으로 이동가능한 승강기 조립체(126)에 의해 작동된다. 도 5에 도시되어진 것처럼, 승강기 장치(126)는 상기 장치의 상단부에 판(130)을 장착한 수직으로 이동가능한 샤프트(128)를 포함한다. 샤프트(128)는 작동기 바람직하게, 바람직하게 챔버의 외부에 위치된 공압식 실린더에 의해 상하로 수직으로 이동된다.
지지핀(122)은 ESC 수용 부재(98)를 통해 수직으로 위치된 보어(134) 내에 위치된 슬리브(132) 내에 수용되며, 상기 밀페물 내에 지지물 부재(16)를 독립적으로 이동시킨다. 지지핀(122)은 로봇 블레이드가 상기 밀폐물로부터 기판을 이동하게 하기 위해 지지물 부재(16)로부터 연장하나, ESC(104)의 상부 표면상에 기판을 위치시키도록 지지물 부재(16) 내부로 가라앉아야 한다. 각각의 핀은 하부 구형 부분과 상부 구형 부분내에서 종결하는 원형의 샤프트를 포함한다.
작동중에, (처리되어질 기판을 갖는) 외부 블레이드(138)는 지지물 부재(16) 위로 기판을 위치시키도록 챔버 내부로 슬릿 밸브(24)를 통해 삽입된다. 적합한 블레이드(138)와 연관된 로봇식 기판 핸들링 시스템의 한 실시예는 단 메이단(Dan Maydan), 새선 소멕(Sasson Somekh), 데이비드 엔. 케이. 왕(David N.K.Wang), 데이비드 쳉(David Cheng), 마사토 토시마(Masato Toshima), 이삭 하라리(Isak Harari), 및 피터 호프(Peter Hoppe)의 이름으로 출원된 다중 챔버 집적화 가공 시스템으로 제목붙은 미국 특허 출원 제 944,803호에 기술되어 있다. 승강기 장치(126)는 기판을 집기 위해 블레이드 위로 기판 지지핀(122)을 상승시킨다. 블레이드는 챔버로부터 회수되며 공압식 실린더는 챔버를 밀폐하기 위해 블레이드 입구 슬롯 위로 도어를 밀폐한다. 승강기 장치(126)는 기판이 처리용으로 위치된 지지물 부재(16)이 상부 표면(98) 상에 수용될 때 까지 하부 지지핀(122)에 작동된다.
처리된 후에, 승강기 장치는 기판을 기판지지 부재(16) 위로 상승시키기 위해 지지핀(122)을 상승시킨다. 이 때 도어는 개방되고 블레이드는 챔버 내부로 다시 삽입된다. 다음에, 승강기 장치(126)는 블레이드상에 기판을 증착시키기 위해 기판 지지핀(122)을 하강시킨다. 하향으로 이동하는 핀(122)이 블레이드를 제거한 이후에, 블레이드는 물러난다.
처리시에, CVD 공정 환경의 플라즈마는 많은 양의 열을 발산하며, 플라즈마에 의해 발생된 총괄 열은 적어도 부분적으로 플라즈마의 전력 밀도에 따라 달라진다. 상기 열 부분이 기판 내부로 전달되며, 기판의 온도를 유지하기 위해 기판으로부터 제거되어야 한다. 상기 열을 제거하기 위해서는, 열 전달 시스템은 지지물 부재의 온도를 제어하기 위해 기판 지지물 부재(16)내에 제공되며 기판은 처리된다. 도 6은 지지물 부재(16)의 열 전달 시스템을 도시하는 상층 단면도이다. 수문(140) 및 급수 출구(142)는 통로(144,146)에 의해 연결된다. 물 분기관(148)은지지 부재로부터 냉각 유체에까지 열 전달을 용이하게 하기 위해 지지물 부재(16) 내에 위치된다. 지지물 부재(16)의 온도는 챔버의 처리 영역으로부터 상류로 가스 흐름 내부에 조급한 증착을 제거하기 위하여 선택된다. 기판 지지물 부재(16)의 전체를 통해 수용된 냉각제 채널(144,146)은 관통하는 냉각제 통로를 위해 제공된다. 게다가, (하단에 기술되어질)ESC 104의 표면내의 홈은 기판으로부터 지지물 부재(16) 내부로 열을 전달하고 연속적으로 냉각제 유체에 전달한다.
도 8a는 본 발명에 따른 정전기 척(104)의 하나의 실시예의 상부도를 도시하고 있다. 도 8b는 대칭 형상이며 웨이퍼 평면을 제거한 대안의 실시예이다. 편평한 상층 표면 대신에, 많은 돌출부(166)를 형성하기 위해 표면 내에 많은 그루브가 제공된다. 상기 돌출부의 중심 지대(168)는 밀봉부(172)에 의해 주변부(170)로부터 분리된다. 밀봉부(172)는 돌출부를 제공하기 위해 밀봉부 내부에 형성된 그루브를 갖지 않는 영역을 의미하며, 분리 지대 사이의 흐름을 최소화하도록 경질의 표면을 형성한다.
헬륨 가스는 도 1의 헬륨 라인(47)으로부터 상기 영역 내부로 고압의 헬륨을 수용하는 일련의 구멍을 갖는 그루브인 링(176)을 통해 주변부(170) 내부로 삽입된다. 내부 링(178)은 저압의 가스가 압력 헬륨 라인(147)으로부터 중심 영역(168)에까지 이르도록 한다. 작동중에, 중심 영역(168) 내에 초기 저압의 헬륨을 설정한 후에, 헤륨 링(178)은 소정의 저압 헬륨을 유지시키기 위해 밀봉부 영역(172)을 통해 새어나오는 헬륨 가스를 제거시킨다. 선택적인 실시예에서, 리프트 핀 구멍인 진공 구멍(180)은 중심 영역내의 압력을 하강시키기 위해서 도 1의 진공 라인(135)을 사용한 중심 영역내의 가스를 펌프로 배출하기 위해 사용된다. 선택적으로, 부가의 진공 구멍이 부가될 수 있다.
헬륨 그루브(178)는 바람직하게 밀봉부 영역(172)근처에 위치된다. 가능한 근접하여 위치되어짐으로 인해, 소정의 열 전달 단계에 근접할 수 있다. 고압 가스는 주변부에 의해 좁은 영역 내에 함유될 수 있다. 고압 가스가 웨이퍼의 중심을 향해 멀리 연장하다면, 냉각기 중심은 더욱 냉각되며, 부분적으로 고압 가스에 의해 제공된 열 차등 내의 감소를 상쇄한다.
작동중에, 웨이퍼를 가열하기 위한 저압 헬륨(1-15 torr)은 중심 영역(168) 내부로 제공되며, 고압 헬륨(1-20 torr)은 주변부 영역(170) 내에 제공된다. 주변부 영역 내의 고압의 헬륨은 웨이퍼의 주변부에서 보다 나은 열 전달을 제공한다.
하나의 실시예에서, 상기 밀봉부(172)는 정전기 척(164)의 상층의 나머지로서 동일한 세라믹 피복물로 제조된다. 상기 세라믹 피복물은 소형의 간극을 가지며, 밀봉 영역은 완벽한 밀봉을 제공하지 못한다. 게다가, 기판 또는 웨이퍼는 일부 이면이 거칠며 기판 지지물보다 더 거친 표면을 갖는다. 따라서, 밀봉 영역은 한 영역에서 다른 영역으로 헬륨의 누수를 방지하는 충분한 폭을 가져야 한다. 압력 변화를 갖는 세라믹으로 덮혀진 정전기 척이 1/10 인치, 또는 100 mils 의 밀봉 너비를 가짐이 바람직하다. 바람직하게, 밀봉 너비는 50 내지 300 mils이다. 외부 밀봉부(174)용으로, 상기 밀봉부 위로 웨이퍼 면적이 고압의 헬륨으로부터 열 전도의 잇점을 갖지 않음으로 인해 너비를 최소화하는 것이 바람직하다. 동시에, 상기 밀봉부는 고압의 흐름 수치로 인해 유지된 헬륨의 압력을 열 전달 가능성에 도달시킴으로서 결정될 수 있도록 챔버 내부로 헬륨의 누수를 방지하기에 충분히 넓어야 한다. 동일한 100 mil의 너비는 최적의 밀봉 너비인 50 내지 300 mils로, 효과적인 것으로 나타났다. 대안의 너비는 다른 재료 및 기판 비비물 및 기판에 적합하다. 예를 들어, 많이 공지된 공급기로부터 이용가능한 카프톤 제이(KaptonJ)와 같은 중합체 필름이 사용되면, 좁은 너비는 이루어질 수 있다.
바람직한 열 전달 가스는 불활성이며 비교적 경제적인 이유로 헬륨이 사용된다. 또한, 아르곤, 산소, CF4, 또는 다른 가스가 사용될 수 있으며, 또는 가스 혼합물이 사용된다. 예를 들어, 부가적인 압력 제어 능력을 부가하기 위해 혼합물이 사용된다. 임의의 누출 가스가 화학 반응에 최소의 영향을 미치도록 특정 가스는 챔버 내에서 화학 공정과 양립하도록 선택되어야 한다. 예를 들어, 에칭 종으로 불소를 사용하는 에칭 반응에 있어서, 이면 열 전달 가스로서 CF4를 사용하는 것이 바람직하다.
열 도전이 주로 헬륨 가스를 통해 발생함으로 인해, 상기 목적을 위해 돌출부 수와 크기, 및 밀봉 면적을 최소화하는 것이 바람직하다. 따라서, 기판 면적위로 비 접촉 면적보다 더 적게 접촉해야 한다. 반면에, 상기 밀봉부는 가스 누수를 방지하기 위해 요구되며, 상기 돌출부는 웨이퍼를 기계적으로 지지하기 위해 충분한 크기와 공간을 가져야 한다. 게다가, 최적화되어지는 다른 요소가 있다. 기판과 돌출부 사이의 기판 지지물 사이의 간극을 결정하는 돌출부의 높이는 상기 가스가 작동 개시 시간에 영향을 주지 않고 상기 영역을 통해 신속하게 분포되도록 한다. 일반적으로, 이는 몇 초 바람직하게는 10초 정도 내에서 가스가 분포되어야 한다.
최적의 열 전달을 위해서, 상기 간극은 열 교환이 주로 다른 가스 분자와 충돌없이 기판에서 기판 지지물에 이르기까지 직접적으로 이동하는 분자에 의해 발생하도록 충분히 적어야 한다. 따라서, 가스의 평균 자유 행로(또는 가스 혼합물이 사용된다면 평균 자유 행로)보다 적어야 한다. 평균 자유 행로는 가스의 압력 및 분자 충돌 횡단면의 함수이다. 다양한 압력이 사용되면, 평균 자유 행로는 변화한다. 바람직한 실시예에서, 적용되어질 최대 압력의 평균 자유 통로는 간극 치수를 결정하는데 사용된다.
게다가, 총괄적인 절연체 두께의 간극의 비는 기판상에 국부적인 예외를 방지하기 위해 작게 유지되어야 한다. 상기 비가 상당히 크다면, 기판에 다른 전기장을 적용함으로써, 상기 공간과 돌출부 사이에 평형 정전 용량은 변화할 것이다. 상기 다른 전기장은 증착되고 에칭되고 도핑된 필름내에 비균일성을 초래하고, 또 다른 특성 변형을 통해 화학 공정에 영향을 줄 수 있다. 일부 다른점은 반드시 존재하나, 이를 최소화하는 것이 바람직하다.
상기 비는 절연체 재료, 특히 재료의 절연 상수와 열 전달 가스의 차이에 따라 변화한다. 두 개의 절연 상수가 밀접하면 할수록, 대형의 간극과의 관계는 감소된다.
간극 크기를 설정하는 또 다른 중요점은 기판 지지물과 웨이퍼 이면 사이의 열 전달 가스로 발생된 플라즈마를 가짐을 방지하는 것이다. 이는 간극 크기가 열 전달 가스의 평균 자유 통로의 몇배가 된다면 중요하리라고 믿는다.
정전기 척의 하나의 실시예로, 세라믹 피복의 두께는 7 - 10 mils이다. 캅톤 제이가 사용된다면, 1 - 2 mils의 두께가 사용된다. 이상적으로, 척으로 고정시키기 위한 목적으로, 상기 절연체는 제조 일관성을 유지하고 절연체 파손을 방지하는 한계 내에서 가능한 한 얇아야 한다. 전술한 두 개의 영역의 압력에서 헬륨의 평균 자유 행로는 약 1-5 mils 이다(고압에서, 평균 자유 행로는 상기 수치보다 적다). 따라서, 돌출부 높이 0.7 - 1.2 mils가 선택되며, 시험되며, 효과적인 것으로 나타났다. 이는 소정의 압력에서 헬륨의 평균 자유 행로보다 적은 간극을 제공한다. 바람직하게, 상기 간극은 관련 압력에서 열 전달의 평균 자유 행로의 2배보다 적으며, 보다 바람직하게는 평균 자유 행로보다 적다.
돌출부 사이의 간극은 굽어짐없이 기판을 지지하는 동안 가능한 한 크다. 하나의 실시예에서, 기판이 평면으로 유지되며, 또 다른 실시예에서 굽어진 기판을 적절하게 지지하기 위해 돌출부 높이, 또는 (동일한 높이의 돌출부를 갖는) 기판 지지물의 상층 표면을 변화시키는 것이 바람직하다. 또 다른 요소는 전기장에서 국부적인 예외를 초래하는 뽀족한 점을 방지하는 것이다. 너무 넓은 공간은 손상을 초래하는 척으로부터 분리되는 동안 전하 이동에 영향을 줄 수 있다.
돌출부의 최적의 중심 대 중심 간격은 100 내지 300 mil, 바람직하게는 대략 300 mil로 결정된다. 돌출부 자체의 크기는 바람직하게 직경 10 내지 150 mil, 보다 바람직하게는 130 mil 이다. 사각 돌출부는 제조시에 쉽게 제조되므로 간단하게 도시되며, 또 다른 형상도 또한 사용된다. 예를 들어 환형의 형상이 사용된다.
도시된 실시예에서, 대안의 실시예에서 제공되어 있기는 하지만 가스를 이동시키기 위한 개구가 외주부에 도시되어 있지 않다. 헬륨 압력의 제어는 높거나 낮은 헬륨 압력을 제공하거나 또는 진공 펌프를 통해 더 펌핑 처리함으로서 이루어질 수 있다. 유사하게, 중심 영역에서 압력은 상기 두가지 방식 또는 두가지 방식을 조합한 방법으로 제어된다. 지지물의 중심 부근에 진공 결합한 엣지 부근에 링 형상의 헬륨 공급원의 배치는 중심을 향해 감소한다. 본 발명의 대안의 실시예는 두가지 압력 영역을 통한 열 전달의 조잡한 조정을 제공하며, 미세한 조정은 중심 부분의 헬륨 입구 및 진공 출구의 배치를 통해 발생한다. 대안의 실시예에서, 하나 이상의 영역이 더 미세한 조정을 위해 사용되며, 더 나은 하드웨어의 필요로 교체된다.
도 9는 절연체(186)의 절연 두께의 변화를 도시하는 ESC(104)의 하나의 실시예를 도시하는 측면도이다. 웨이퍼(182)는 척상에 장착되어 도시되어 있다. 상기 척은 절연체(186)에 의해 덮혀진 전극 부분(184)을 포함한다. 상기 절연체는 정전기 척의 상층을 가로지르며 측면(190)을 따라 연장한다. 도시된 바와 같이, 절연체는 중심 부분(192)에서 더 두껍고, 주변부(194)에서 더 얇다. 상기 측면도는 다수의 돌출부(170)를 도시하며 또한 내부 밀봉부(172) 및 외부 밀봉부(174)를 도시하고 있다.
주변부(194)에서 얇은 절연체는 상기 부분에서 보다 강한 정전기력을 제공한다. 이는 여러 가지 이유로 잇점을 갖는다. 첫째, 웨이퍼를 보다 단단하게 고정하여 정전기 척의 상층과 보다 단단하게 접하여 더 나은 열 전달을 제공한다. 둘째, 보다 단단한 힘이 주변부 부근의 밀봉부(172, 174)사이의 고압의 헬륨 내에서 고정되도록 도와준다. 게다가, 웨이퍼의 주변부가 중심부와 다른 온도를 가지면, 중심부와 대해 굽어지도록 유도하며, 부풀거나 배출되어 열 차등 문제점을 더욱 악화시킨다. 이는 주변부에서 보다 높은 정전기력에 의해 극복될 수 있다.
대안의 실시예에서, 변화하는 절연체 두께는 두 개의 압력 영역없이 또는 돌출부없이 사용될 수 있다. 절연체 피복물에서 변화는 연속적으로 또는 단계적으로 발생할 수 있다. 단계별 차잇점은 제조를 더 단순하고 보다 경제적으로 하게 한다.
웨이퍼 엣지에서 밀봉부 영역(174)과 더 강한 정전기력의 또 다른 잇점은 정전기 척의 표면 부근에 노출된 금속에 플라즈마의 휘어짐을 방지할 수 있는 점이다. 상기 노출된 금속은 헬륨 입구 포오트에 놓여지며, 알루미늄 전극을 통해 오르며, 전극에 통로 구멍을 통해 노출된다. 휘어짐은 보다 단단한 밀봉부의 제공이나, 정전기 척의 엣지로부터 충분히 멀리 떨어진 헬륨 입구 포오트를 위치시키거나, 또는 상기 휘어짐을 방지하기 위해 그루브를 위치시킴으로써 방지된다.
도 9에 도시되어진 것처럼, 온도 센서(196)는 정전기 척과 웨이퍼의 상층 표면 사이의 공간에서 위치될 수 있다. 웨이퍼의 온도는 센서로부터 추론될 수 있다.
도 10은 온도 제어를 도시하는 피드백 제어 시스템의 신호 흐름도이다. 도 10은 헬륨 압력을 제어하기 위한 밀폐된 루프 온도 제어 시스템을 도시하고 있다. 선택적으로, 개방된 루프 시스템은 온도 센서없이 이용될 수 있다. 이전의 실험법은 소정의 가공 변수로 적합한 헬륨 압력을 나타내고 있으며, 대안의 실시예에서 온도 센서가 제거될 수 있다. 도 9는 처리기 내에서 시행된 두가지 작용과 물리적인 효과를 포함하고 있다.
온도 설정점은 메모리(245)내에서 제어 프로그램에서 제어기에 이르기까지 사용자 프로그램 정보가 제공되어 있다. 온도 설정 위치 값은 제어기에 의해 상수(198)를 곱하며, 부가 기능(202)에 의해 나타난 바와 같이 피드백 신호(213)의 값을 부가한다. 부가 기능의 결과는 흐름 제한기 또는 헬륨 공급기 내의 밸브를 제어함으로써 헬륨 압력을 제어하는 제어기에 의해 사용된다. 이는 헬륨 가스의 열 전달의 정도를 변화시킨다. 바람직한 실시예에서, 헬륨 압력은 수학적인 모델에 따라 제어된다. 그러나, 실헙적인 결과치가 압력 제어의 기초로 사용된다. 수학적인 모델은 하단에 기술된다. 헬륨 압력은 블록(202)에 의해 나타난 것과 같은 ESC에 열전달을 제어한다(선택적으로, 임의의 기판 지지물이 사용될 수 있다). ESC는 헬륨 압력에 의해 제어된 웨이퍼에 열 전달 양으로 열 교환기(233)에 의해 냉각되거나 가열기(243)에 의해 가열된다. 상기 열 전달은 (블록(204)에 의해 나타난) 플라즈마로부터 전달된 에너지에 의해 발생된 열에 의해 차단될 수 있으며, ESC에 열 전달을 (블록(206)에 의해 나타난) 결합한다. (블록(208)에 나타난 바와 같은) 웨이퍼 열 총량에 적용된 총괄 열 전달은 웨이퍼의 온도(210)를 발생한다. 반도체 기판보다 대안의 기판이 사용됨을 인지하라. 웨이퍼의 최종 온도(210)는 피드백 라인(211)에 나타난 것처럼 정전기 척에 열 전달 양에 충격을 가한다. ESC 와 블록(202)에 나타난 웨이퍼 사이의 열 전달 함수는 ESC의 온도 및 웨이퍼 온도의 함수이다. 도시된 바와 같이, ESC에 열 전달은 웨이퍼로부터 열을 전달하며 플라즈마로부터 발생한 열은 웨이퍼에 열을 부가한다. 그러나, 상기는 정전기 척이 웨이퍼를 가열하는데 사용될 때 역전될 수 있어, 낮은 온도에서 플라즈마로 인해 열이 이동되는 동안 열 투입 또는 플라즈마의 부재하에 챔버에 의해 간단하게 제공된다.
블록(212)은 온도 센서에 의해 온도를 전기 신호로 변형하는 예를 도시하고 있다. 블록(214)은 피드백으로서 온도 설정점을 갖는 온도 신호를 결합하기 이전에 처리기 내에서 적용된 전달 함수를 도시하고 있다. 상기 전달 함수는 단일된 상수에 의해 곱해지는 가장 간단한 형상이며 아날로그 신호에서 디지털 신호로의 간단한 변형이다.
제어기에 의해 시행된 기능은 메모리(245) 내의 프로그램의 제어하에 행해진다. 상기 프로그램은 온도 센서로부터 온도 지시를 판독하기 위한 지시, 및 소정의 입력 설정 온도와 비교하기 위한 지시, 및 특정 압력 영역내에서 가스의 압력을 변화시키기 위해 압력 밸브( 또는 흐름 제어기)를 제어하기 위한 지시와 같은 다양한 단계를 시행하기 위한 지시들을 포함한다. 또 다른 지시는 과실과 같은 경우 가스를 차단하기 위해 제공된다.
헬륨 압력은 단일의 하나의 압력 정전기 척이 사용되는 경우 압력을 증가시키거나 감소시킴으로써 제어될 수 있다. 또한, 두 개의 압력 영역은 본 발명의 바람직한 실시예로 사용되며, 외부 및 내부 헬륨 압력은 각각 제어될 수 있다. 각각의 영역의 온도는 두 개의 영역의 교차 지점 부근에 위치된 단일의 압력 센서로부터 추론될 수 있다. 또한, 두 개의 다른 온도 센서가 사용될 수 있다. 또 다른 대안의 실시예에서, 온도 센서는 정전기 척의 상층 표면에 부착될 수 있거나, 또는 웨이퍼 자체와 직접적으로 접하여 놓인다. 온도 센서는 압력 변동을 초래하는 영역 사이의 누수가 있는 곳과 같은 압력을 추론하는데 사용된다. 압력 조절기는 출력시에 압력만을 탐지할 수 있으며, 웨이퍼로부터 일정 거리만큼 떨어져 있으며, 조절기 하에서 다른 압력을 가질 수 있다. 온도 센서는 웨이퍼 하에서 실제 압력을 추론하는데 사용될 수 있다. 웨이퍼 표면 거칠음 정도에 따라, 누수는 변화하며, 제공된 압력은 변화될 필요가 있다.
제어 시스템은 시스템위에 소정의 압박을 갖는다. 에를 들어, 헬륨 압력은 웨이퍼가 정전기 척을 올리지 못하도록 제한되거나, 또는 많은 압력 차등이 열 응력으로 인해 웨이퍼에 손상을 가하는 열 증감을 초래하는데 제공된다. 상기 압박이 초과하거나, 또는 일부 다른 한정된 과실이 발생하는 경우에, 가스 흐름이 중단된다.
처리 키트
처리 키트는 칼라 및 덮개로 이루어진다. 부가적으로, 스커트가 사용되기도 한다. 세라믹 칼라는 웨이퍼 크기 및 형상 특성이며, 정전기 척 및 석영 덮개 사이에 위치된다. 칼라의 주요 목적은 플라즈마의 영향으로부터 정전기 척 플랜지를 방호하는 것이다. 덮개는 칼라로부터 음극 조립체의 외주부까지 연장하며, 상기 주요 목적은 음극 조립체가 플라즈마의 영향으로부터 보호하기 위함이다. 플라즈마의 영향으로부터 상부 및 하부 챔버를 밀봉하는 스페이서 및 O-링을 보호하기 위해 하부 챔버 상에 스커트가 위치된다.
하나의 실시 양태에서, 본 발명은 가스상 제품의 증착을 방지하는 반도체 처리 챔버 내에 정전기 척의 개선된 처리 키트 또는 차폐물을 제공하는 것이다. 게다가, 차폐물은 웨이퍼 제조 공정의 전체의 증가를 초래하는 산화물 증착의 보다 신속한 이동을 제공한다.
하나의 실시예에서, 칼라 및 덮개는 하나 이상의 표면 또는 그 내부에 표면 정화를 증가시키기 위해 위치된 도전 재료를 포함한다. 일반적으로, 절연체 돔(32) 부근에 위치한 도전성 코일은 칼라 또는 덮개 내부 위에 도전성 재료를 가열하는데 사용되며 칼라 또는 덮개 표면의 가열을 초래한다. 불소 함유 화학 제품 또는 다른 반응 가스를 사용하여 시행되는 정화 공정은 상승된 온도에서 증가된다. 처리 키트 성분 내부 또는 위에 위치된 유도 코일 및 도전체의 사용은 정화 속도를 증가시키기 위해 상기 성분들의 표면 온도를 상승시킨다.
하나의 예로서, 전류가 유도될 수 있는 도전체를 제공하기 위해 칼라 또는 덮개와 같은 처리 키트 성분의 표면위에 금속이 증착될 수 있다. 가열 공정의 작동은 코일이 외부로 감겨져 있고 금속 층이 내부로 감겨있는 트랜스내에 도시되어 있는 것과 유사하다.
도 11은 정전기 척 및 처리 키트의 횡단면도를 도시하고 있다. 기판 지지물 조립체(230)는 상기 조립체 상부 표면 위로 냉각된 웨이퍼로부터 열 흡수를 용이하게 하기 위해 높은 열 총량과 우수한 열 도전율을 갖는 전기적 도전 재료로부터 일체식 차단으로 바람직하게 제조되는 지지물 몸체(232)로 이루어진다. 알루미늄 또는 양극 처리된 알루미늄은 대략 2.37 와트/cm-EC 의 높은 열 전도율과 반도체 웨이퍼와 양립하는 공정으로 인해 지지물 몸체(232)이 바람직한 재료이다. 지지물 몸체(232)는 스테인레스 스틸 또는 니켈과 같은 또 다른 금속으로 이루어지며, 지지물 몸체(232)는 부가적인 비 도전성 재료로 이루어지거나 또는 전체 지지물 몸체(232)는 비 도전성 및 반도체 재료로 이루어진다. 대안의 실시예에서, 지지물 몸체(232)는 세라믹의 단일체(monolithic) 판으로 이루어진다. 상기 배치에서, 세라믹 판은 그 내부에 박혀진 도전 요소를 통합시킨다. 도전 요소는 금속 요소, 그린으로 프린트된 금속화(green printed metalization), 메쉬 스크린(mesh screen) 등을 포함한다. 지지물 몸체(232)는 지지물 몸체(232)의 외부 표면으로부터 외향으로 연장하는 환형의 장착 플랜지(234)를 한정한다. 약 700 볼트의 전압이 지지물 몸체(232)의 상부 표면에 근접하여 웨이퍼 W를 고정하는 정전기 인력을 발생시키기 위해 (도시되지 않은) DC 전압원에 의해 기판 지지물 조립체(230)에 적용된다.
도 11을 참조하면, 기판 지지물 조립체(230)는 웨이퍼 W 의 하부 표면을 지지하기 위한 지지물 몸체(232)의 상부 표면(238)을 덮는 절연체 재료(236) 층을 포함한다. 절연체 층(236)은 4개의 리프트 핀 구멍(240) 위의 영역을 제외하고 지지물 몸체(232)의 전체 상부 표면(238)을 덮는다. 절연체 층(236)은 바람직하게 알루미나의 (바람직하게 0.10 내지 0.30 인치의) 얇은 세라믹 절연체 층, 플라즈마가 지지물 몸체(232)의 상부 표면(238) 위로 분사된 알루미늄 산화물 또는 알루미늄/질화물 혼합물을 포함한다.
하나의 실시예에서, 차폐물(242)은 칼라(246) 아래에 증착된 얇은 환형의 도전체 재료(244)로 구성된다. 칼라(246)는 환형의 플랜지(234)에 의해 지지되며 덮개(248)에 의해 고정된다. 덮개(248)는 바람직하게 챔버를 정화하기 위해 요구되는 기판을 감소시키기 위해 지지물 몸체(232)의 측면 표면을 덮고 보호하기 위한 세라믹 외부 자켓이다. 칼라(246)는 소형의 간질성의 간극(250)에 의해 환형의 플랜지(234)로부터 바람직하게 분리된다. 간극(250)은 환형 플랜지(234)의 상부 표면 및 도전체 재료(244) 또는 칼라(246)의 하부 표면의 자연적인 표면 거칠음에 의해 발생된다. 간극(250)은 바람직하게 0.5 내지 5 mil이다. (약 5 mTorr의) 비교적 낮은 환경의 처리 챔버내에서, 간극(250)은 칼라(246) 및 지지물 몸체(232) 사이의 열 도전을 방지하는 열 방벽을 이룬다.
도 11에 도시되어진 것과 같이, 칼라(246)는 그 사이의 제 2 간극(252)을 한정하기 위해 지지물 몸체(232)이 직경보다 큰 내부 직경을 갖는다. 간극(252)은 처리 챔버 내에서 가열되고 차폐물이 기판 지지물(230) 또는 칼라(246)이 손상없이 설치되고 이동될 수 있음을 보장할 때, 지지물 몸체(232)의 팽창의 여지를 제공한다. 칼라(246)는 웨이퍼위의 처리 챔버내에 플라즈마를 접합을 방지하기 위해 제공된 바람직하게 세라믹 절연 재료로 이루어지며, 정전기 척 부분이 침식된다. 그러나, 칼라(246)는 절연 재료로 반드시 제한되는 것은 아니며, 사실상 출원인은 처리 챔버내의 플라즈마로부터 정전기 척을 효과적으로 보호하기 위한 반도체 재료로 제조됨을 인지하게 되었다.
칼라(246)는 처리 챔버내의 가스로부터 증착에 노출된 굽어진 상부 표면(256)을 갖는 얇은 링(254)이다. 노출된 상부 표면(256)의 표면적 대 칼라(246)의 열 총량의 비는 바람직하게 높으며, 보통 0.1 내지 5 cm2K/J이며, 바람직하게는 1 내지 1.6 cm2K/J 이다. 노출된 표면적 대 칼라(246)의 열 총량의 높은 비는 챔버 내에서 RF 에너지로부터 고온의 온도에 가열되도록 초래한다. 산화물 증착 속도가 가공 챔버내의 표면의 온도와 반비례하므로, 칼라(246)에 의해 수용된 열은 노출된 상부 표면상에 산화물 증착을 방지한다. 따라서, 칼라(246)의 기하학(즉, 노출된 표면 대 열 총량의 높은 비)은 상부 표면(256) 상에 증착 속도를 최소화한다.
증착 가공시에, 가공 가스로부터 산화물은 웨이퍼 W와 밀폐물의 내부 벽 및 칼라(246)의 상부 표면(256)과 같은, 챔버 노출 표면의 부분 위로 증착된다. 칼라(246)의 열 총량이 표면(256)의 표면적과 상대적으로 적게 비교됨으로 인해, 칼라(246)는 RF 전력 공급원으로부터 비교적 다량의 열을 수용할 것이다. 칼라(246)는 RF 전력을 통해 열을 발생하는 얇은 환형의 도전 재료(244)에 의해 또한 가열된다. 이는 상부 표면(72)위로 산화물 증착 속도를 감소시킨다.
도 11에 도시되어진 것처럼, 칼라(246)는 웨이퍼가 절연층(236)의 상부 표면 위에 놓이거나 상기 표면 부근에 있을 때 칼라의 상부 표면이 웨이퍼의 상부 표면 아래에 위치되도록 바람직한 크기를 갖는다. 웨이퍼의 상부 표면 아래에 칼라(246)의 위치선정은 상부 표면(256) 상에 산화물 증착 속도를 하강시키고 웨이퍼 엣지에 개선된 시계 라인을 제공한다. 따라서, 웨이퍼의 엣지는 차폐물(242)이 웨이퍼 위로 연장하는 것보다 높은 증착 속도를 수용할 것이다. 일부 가공에서, 처리시에 일반적으로 발생하는 웨이퍼 중심에서 높은 증착 속도를 보정하기에 잇점을 갖는다.
도 12를 참조하면, 처리 챔버내의 처리 키트의 횡단면도를 도시하고 있으며 유도 HDP 공급원내의 상기 공급원 RF 코일(260)은 세라믹 처리 키트를 가열하는데 사용된다. 세라믹 처리 키트 내에 또는 하나 이상의 표면에 위치될 수 있는 얇은 환형의 도전성 재료(244)의 얇은 환형은 트랜스의 보조 코일로 작동하며 처리 키트용 열을 발생하는 공급원 RF 코일(260)내에 RF 전류에 의해 유도된 전류를 전도한다. 상기 보조 코일의 저항은 너무 낮거나 너무 높은 저항이 불충분한 전력 전달로 인해 중요하며, 처리 키트의 비효과적인 가열을 초래한다.
도 12에 나타난 원형 기하학용으로, 저항 R은 대략 2BrD/w@d 이며, 여기서 r은 도전성 재료의 얇은 환형의 외부 반경의 방사상 치수의 측정이며, w는 도전성 재료의 너비이며, d는 도전성 재료의 두께이며, D는 도전성 재료의 저항성이다. 저항 R은 w@d, 도전성 재료(244)의 횡단면적의 변화에 의해 제어된다. 칼라(246)와 최적의 접촉을 이루기 위해, w는 칼라(246)의 폭보다 더 좁으나 가능한 큰 것이 바람직하다. d의 최적의 수치를 얻기 위한 하나의 바람직한 방법은 도전 재료의 다른 두께 d를 갖는 다양한 샘플의 가열 속도를 실험적으로 측정하는 것이다. 하나의 바람직한 실시예에서, 10 cm의 내부 직경과 12cm의 외부 직경 및 0.13 mm 의 두께를 갖는 환형의 도전 재료로 흑연을 갖는 처리 키트는 약 288 EC의 온도에서 유도되어 가열된다.
본 발명의 또 다른 실시 양태에서, 처리 키트의 정화 속도 또는 제거 속도는 온도의 함수이다(즉, 처리시에 차폐물이 고온이 될 수록, 더 신속하게 정화된다). 정화시에, 도전성 재료(244)는 처리 키트용 열을 발생하는 공급원 RF 코일(260)내에서 RF 전류에 의해 유도된 전류를 전도하는 트랜스의 보조 코일로 작동한다. 따라서, 증가된 온도로 칼라(246)의 정화 속도는 증가되며, 장치(2)의 중단 시간을 감소시키고 그 결과 공정 작업 처리량을 증가시킨다.
가스 분포 조립체
가스 분포 조립체(300)는 도 13 내지 도 16을 참조로 하여 하단에 기술되어질 것이다. 도 13은 가스 분포 조립체(300)를 도시하는 본 발명의 챔버를 통한 횡단면도이다. 일반적으로, 가스 분포 시스템은 돔의 하부 부분과 챔버 몸체의 상부 표면 사이에 위치된 환형의 가스 링(310)과 돔의 상층을 통해 위치된 중심에 위치된 중심 가스 공급기(312)를 포함하고 있다. 가스는 돔(32)의 바닥 부근에 위치된 원주에 장착된 가스 노즐(302, 304)과 돔의 상층 판 내에 위치된 중심 위치된 가스 노즐(306)을 통해 챔버 내부로 유입된다. 상기 배치의 잇점은 다수의 다른 가스가 노즐(302,304,306)을 경유하여 챔버 내부의 선택 위치에서 챔버 내부로 유입된다는 것이다. 게다가, 산소 또는 가스의 조합과 같은 또 다른 가스는 노즐(306) 둘레에 위치된 가스 통로(308)를 통해 측면 노즐(306)을 따라 유입되고 챔버 내부로 유입된 다른 가스와 혼합될 수 있다.
가스 분포 링 및 중심에 위치된 가스 분기관은 하단에 각각 기술될 것이다.
일반적으로, 가스 분포 링(310)은 하나 이상의 가스 채널(316, 318)과 연통하고 내부에 노즐을 수용하기 위해 그 내부에 형성된 다수의 포오트를 갖는 알루미늄으로 제조된 환형의 링 또는 또 다른 적합한 재료(314)로 이루어진다. 바람직하게, 챔버 내부로 적어도 두 개의 분리된 가슬를 공급하기 위해 가스 링 내에 형성된 적어도 두 개의 개별 채널을 갖는다. 노즐을 수용하기 위한 각각의 포오트는 링 내에 형성된 가스 분포 채널(316, 318)의 적어도 하나와 연결된다. 본 발명의 하나의 실시예에서, 대안의 포오트가 채널의 하나에 연결되어 있으며, 다른 포오트가 다른 채널에 연결되어 있다. 상기 배열은 예시된 것처럼 챔버 내부로 각각 SiH4, O2와 같은 각각의 가스의 유입을 허용한다.
도 14는 채널 내부에 위치된 노즐(302)를 갖는 하나의 포오트(314)에 연결된 제 1 가스 채널을 도시하는 횡단면이다. 도시된 바와 같이, 가스 채널(316)은 챔버 몸체 벽의 상부 표면 내에 형성되며 바람직하게 챔버 벽의 전체 외주부를 둘러싼 환형이다. 환형의 가스 링은 상기 채널내에 가스의 분포를 위해 제공된 상기 포오트(314) 각각에 연결된 링 내에 종방향으로 위치된 제 1 채널(320) 세트를 갖는다. 가스 링이 가스 채널 위로 위치될 때,통로는 채널과 연통하고 있다. 상기 가스 분포 링은 챔버의 내부에서 가스 누출을 방지하기 위해 채널로부터 외향으로 위치된 두 개의 개별 위치된 O-링(322,324)를 경유하여 챔버 벽의 상층 표면 내에 밀폐된다. 테프론 밀봉부(326) 등은 챔버 내부로 가스 누출을 방지하기 위해 리세스(328) 내에 채널의 내부로 위치된다.
상기 포오트(314)내에 위치된 노즐(302, 304)은 바람직하게 치형 결합하고 있으며 그 사이에 밀봉과 신속하고 용이한 대체를 제공하기 위해 포오트 내에 나사와 맞물려 있다. 제한된 오리피스(330)는 각 노즐의 단부내에 위치되며 상기 챔버내에 가스의 소정의 분포를 제공하기 위해 선택될 수 있다.
도 15는 제 2 가스 채널(318)을 도시하는 횡단면도이다. 제 2 가스 채널(318)은 환형의 가스 분배 링의 상부 부분 내에 형성되며 가스 분배 링의 주변부를 둘러산 환형의 배치 내에 유사하게 위치된다. 수평으로 위치된 통로(332)는 가스 링내에 형성된 하나 이상의 포오트에 제 2 가스 채널을 연결하며 상기 가스 링 내부에 부가적인 가스 노즐이 위치된다. 상기 제 2 가스 채널의 상부 함유 표면은 돔(32)의 지지물인 덮개 부분에 의해 형성되며, 기저 판(33)에 의해 상층에 밀봉된다. 가스 링(310)은 챔버 몸체에 힌지 형상으로 장착된 기저 판(33)에 죄여진다.
본 발명의 하나의 잇점은 가스 분포 패턴이 조절될 수 있도록 가스 분포 링이 다양한 각도에서 노즐의 팁을 수용하고 위치시키기 위해 형성된 포오트를 갖는 링으로 쉽게 이동되거나 대체될 수 있다. 즉, 임의의 적용에서 가스 노즐의 일부를 챔버 내부로 상향으로 경사지거나, 가스 노즐의 일부를 챔버 내부로 하향으로 역으로 경사지는 것이 바람직하다. 가스 분포 링에 형성된 포오트는 소정의 각도가 소정의 공정 결과를 제공하기 위해 선택될 수 있도록 밀링될 수 있다. 게다가, 적어도 두 개의 가스를 챔버 내부로 각각 분리하여 전달할 수 있는 적어도 두 개의 가스를 가짐은 다양한 가스 사이에서 발생하는 반응의 보다 큰 제어를 허용한다. 더욱이, 가스 분포 조립체 내에 가스의 반응은 챔버 내부로 각각 가스를 전달함으로서 방지될 수 있다.
도 16은 돔(32)을 통해 위치된 중심 가스 공급기(312)를 도시하는 횡단면도이다. 상층 가스 공급기(312)는 바람직하게 상기 돔의 상층 위에 위치된 기저부(334)를 갖는 테이퍼진 구조물과 상기 돔내에 형성된 리세스내에 위치된 테이퍼진 몸체(336)이다. 두 개의 분리된 O-링(336,338)이 하단부를 향해 테이퍼진 몸체(336)의 하부 표면과 테이퍼진 몸체(338)의 측면 표면위에, 가스 공급기(312)와 챔버의 돔 사이에 밀봉가능한 접촉을 제공한다. 포오트(340)는 챔버 내부로 가스를 전달하기 위한 노즐(306)을 수용하기 위해 상층 가스 공급기(312) 몸체의 하부 부분내에 형성된다. 적어도 하나의 가스 통로(342)는 노즐의 후면에 가스를 전달하기 위해 포오트에 연결된 가스 공급기(310)를 통해 위치된다. 게다가, 노즐(306)은 테이퍼지며 포오트(340)는 노즐(306)의 측면을 따라 챔버 내부로 가스를 전달하는 제 2 가스(308) 통로를 한정한다. 제 2 가스 채널(304)은 통로(308) 내부로 가스를 전달하기 위해 가스 공급기(312)를 통해 위치된다. 산소와 같은 가스는 SiH4와 같은 가스 측면을 따라 전달될 수 있다.
도 17은 리드 조립체의 기저판(33)과 가스 분포 조립체(310)를 도시하는 분해도이다. 가스 분포 링(310)을 수용하기 위해 기저판(33)의 하부 부분내에 채널(350)이 형성된다. 상기 가스 링(310)은 죄여지고, 그렇지 않으면 기저판(33)에 장착된다. 상기 기저판은 챔버 몸체에 힌지 형상으로 장착되어 있다.
제 1 가스 공급원(352) 및 제 1 가스 제어기(354)는 챔버 벽내에 형성된 제 1 가스 채널 내부(316)로 라인(356)을 경유하여 제 1 가스의 유입을 제어한다. 간단히, 제 2 가스 공급원(358) 및 제 2 가스 제어기(360)는 가스 분포 링에 형성된 제 2 가스 채널(318) 내부로 라인(362)을 경유하여 제 2 소정의 가스를 공급한다.
제 3 가스 공급원(364) 및 제 3 가스 제어기(366)는 챔버의 상층에 위치된 가스 채널에 라인(368)을 경유하여 제 3 가스를 공급한다. 제 4 가스 공급원(370) 및 제 4 가스 제어기(372)는 가스 통로(308)에 라인(374)을 경유하여 제 4 가스를 공급한다. 제 3 가스 노즐 및 제 4 가스 노즐(64)을 통해 유입된 가스와 O2는 두 가스가 챔버에 유입되는 것과 같이 챔버의 상부 부분내에서 혼합된다.
원격 플라즈마 정화 시스템
원격 플라즈마 공급원은 가스 입구 및 가스 출구를 갖는 원격 챔버와, 도파관(waveguide)에 의해 챔버에 결합된 전력 공급원, 챔버를 통해 가스 입구 및 가스 출구 사이에 위치된 적용기 튜브를 포함한다. 도 18은 챔버에 연결된 원격 플라즈마 공급원(500)를 개략적으로 도시하고 있다. 챔버(502)는 원형의 챔버이며, 바람직하게 알루미늄으로 제조되고 챔버의 양단부위에 위치된 가스 입구(504) 및 가스 출구(506)를 갖는다. 챔버는 바람직하게 챔버 벽을 통해 위치된 팬을 사용하거나 또는 관통하여 흐르는 물과 같은 열 전달 유체를 갖는 일련의 코일과 같은 유체 냉각 시스템을 사용함으로써 냉각된다. 사파이어 튜브와 같은 적용기 튜브(508) 또는 다른 에너지 전달 튜브는 챔버(502) 내부에 가스 입구와 가스 출구 사이에 위치된다. 물 냉각식의 전달 도관(510)은 처리 챔버(10)의 하부 부분내에 형성된 가스 채널(28)에 가스 출구를 연결한다. 전력 공급원은 도파관(512)에 의해 챔버에 결합된다. 본 발명의 잇점에 사용될 수 있는 하나의 원격 플라즈마 공급원은 참고로 구체화된 1994년 7원 21일 출원된 미국 출원 번호 제 08/278,605호에 기술되어 있다.
바람직하게, 2000 W 내지 5000 W 의 범위내의 전력은 챔버(502) 내부로 전달된다. 가스를 분리시키기 위해 요구되는 최적의 전력이 사용된다. 최적의 전력보다 낮은 전력은 전화 가스의 불충분한 분리와 정화 속도의 감소 및 효율의 감소를 초래한다. 하나의 실시예에서, 단일 전력 공급원은 공급원 안테나와 원격 플라즈마 챔버를 구동하는데 사용된다.
상기 챔버에서, 보다 신속하게 처리하는 정화 속도는 기상을 진공 펌프에 의해 챔버(13)로부터 이동되는 가스상 제품으로 제조하는 형태이다.
4F* (Gas)+ SiO36 SiF4(Gas)+ O2(Gas), 및
2F* (Gas)+ SiO2(Gas)6 SiF2(Gas)+ O2(Gas)
중화된 불소족 F*로 여기되어 장기간 남아있는 고농도에서 가장 효과적인 반응액 가스는 NF3, F2, SF6, CIF3, CF4,및C2F6이다. 그러나, 극초단파에 의해 여기되고 챔버 내에서 증착 재료와 반응하는 다른 정화 가스가 사용된다. 본 발명에서 도 9의 원격 극초단파 정화 시스템에서 10 내지 50%의 불활성 아르곤 가스의 10 내지 50%의 농도를 희석한 NF3, F2를 사용하는 것이 바람직하다. 원격 플라즈마 공급원의 사용에 의해 생산된 소정의 정화 반응은 챔버 또는 기판 지지물 구조물의 임의의 이온 충격없이 처리되며, ESC 104위에 웨이퍼를 덮기 위한 필요성 또는 임계의 챔버 조립체의 주기적인 교체는 방지된다. 따라서, 시스템의 보다 효율적인 사용과 작업 처리량이 제공된다.
도 18은 챔버 벽내에 형성된 정화 가스 전달 채널을 도시한다. 가스는 챔버의 후면 벽(520)내에 수평으로 위치된 원격 공급원(500)에서 제 1 가스 채널(28)에까지 전달된다. 제 1 가스 채널(28)은 챔버의 양쪽 면에 가스를 전달하기 위해 후면 벽의 길이를 연장한다. 중심부 가스(522) 연결부는 챔버의 하부 부분내에 형성되며 전달 콘딧(510)에 제 1 가스 채널(28)을 연결한다. 제 2 가스 채널(524)은 챔버의 각각의 측벽에 형성되며 챔버 내부의 슬릿 개구(526)내에서 종결된다. 코너 덮개는 측벽내에 형성된 측면 가스 채널(524)의 각각으로 제 1 가스 채널(28)의 단부를 연결하는데 형성된 채널로 제조된다. 코너 덮개는 챔버 몸체상에 정위치에 바람직하게 용접되며 챔버 내에서 챔버 몸체를 통해 슬릿 개구(526)에 가스 전달을 용이하게 한다.
제 1 가스 확산 부재(528)는 챔버 내부로 정화 가스를 안내하기 위해 제 2 가스 채널(524)의 슬릿 개구(526)내에 바람직하게 위치된다. 도 19는 챔버의 양면에 정화 가스를 전달하는 굽어진 측면 표면(530,532)을 도시하는 가스 확산 부재(528)의 상층도이다. 굽어진 표면(530, 532)은 챔버 내부로 가스를 외향으로 안내하기 위해 제 2 가스 채널(524)을 가로질러 위치된다.
도 20은 가스 확산 부재(528)의 측면도이다. 가스 확산기의 후면 부분(534)은 가스가 챔버의 양 측면 내부로 안내되도록 채널(524)내에 위치된 가스 확산기 아래에 통과하도록 한다. 리세스(536)는 가스 채널 내부에 정위치에 확산기의 쐐기형 결합을 제공하기 위해 가스 확산기의 한 단부 내에 형성된다. 쐐기(538)는 리세스와 결합하도록 제공되며 나사는 리세스 내의 위치 내부로 쐐기를 가하고 확산기에 쐐기를 연결하고 챔버 몸체에 확산기를 연결한다.
대안의 실시예에서, 가스 배플러는 정화 가스를 상향으로 향하게 하도록 챔버 내부에 각각의 슬릿 개구(526)에 인접한 챔버내부와 공정 키트위와 ESC 104에 위치될 수 있다. 도 21은 플랜지(542)에 의해 가스 확산기(528)에 장착된 배플러(540)의 사시도이다. 배플러 몸체(544)는 챔버 내부와 ESC 104 및 공정 키트위로 정화 가스를 상향으로 몰아내는 챔버 내에 위치될 때 상향으로 근소하게 굽은 굽어진 표면(546)을 제공한다.
정화 공정은 정화 가스가 ESC 및 공정 키트위로부터 챔버에 유입될 때 가장 효율적인 것으로 나타났다. 게다가, 챔버 내부로 ESC 및 공정 키트로부터 떨어져 상향으로 흐르는 가스는 정화 가스를 ESC 상에 정화 공정시에 입자 또는 풀려진 잔류물로부터 방지하는 것이 바람직하다. 입자가 ESC 상에 남아있게 되면, 힐륨이 누수되는 가능성이 척이 증가하는 동안 발생할 것이다. 배플은 정화를 증진시키기 위해 상향으로 흐르는 가스 흐름을 전환하며 ESC 상에 입자의 증착을 방지한다.
상기에서는 본 발명의 바람직한 실시예를 참조로 하여 본 발명을 상세하게 설명하였지만, 해당 기술 분야의 숙련된 당업자들은 하기의 특허 청구범위에 기재된 본 발명의 사상 및 영역을 벗어나지 않는 범위내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
전술한 본 발명에 따른 반도체 기판의 처리 장치에 의해 개선된 정화 특성과 높은 생산성을 제공할 수 있으며 얇은 CVD 필름을 기판상에 형성하기 위한 보다 균일한 조건을 제공할 수 있다.

Claims (10)

  1. 반도체 기판 처리 장치에 있어서,
    측벽과, 기판 표면 위로 공정 가스를 제공하기 위해 내부에 위치된 하나 이상의 가스 확산 출구가 설치된 리드를 갖는 챔버,
    상기 챔버의 측벽내에 제공된 기판 입구 포오트와 상기 밀폐물의 측벽 상에 장착된 칸틸레버를 통해 부부적으로 연장하며 기판 지지 표면을 갖는 기판 지지 부재,
    상기 측벽에 설치되고 상기 챔버 내부의 주변부에 위치된 제 2 가스 확산 출구,
    원격의 플라즈마 정화 챔버로부터 공급된 여기된 정화 가스 원자를 상기 챔버의 내부로 유도하기 위해 상기 챔버의 상기 측벽에 의해 설치된 제 2 가스 채널, 및
    상기 밀폐물의 바닥에 제공된 배기 포오트를 포함하고,
    상기 측벽은 기판 지지 입구 포오트를 한정하고 상기 리드는 상기 밀폐물의 한 단부 상에 위치되어 있는 반도체 기판 처리 장치.
  2. 제 1항에 있어서, 상기 리드의 외부면에 감겨진 제 1 및 제 2 RF 여기 코일을 더 포함하는 장치.
  3. 제 2항에 있어서, 상기 제 1 RF 여기 코일에 RF 전력 공급원의 임피던스를 정합시키기 위한 제 1 RF 정합 네트워크와, 상기 제 2 RF 여기 코일에 RF 전력 공급원의 임피던스를 정합시키기 위한 제 2 RF 정합 네트워크를 포함하는 장치.
  4. 제 3 항에 있어서, 상기 RF 정합 네트워크는 pi 임피던스 정합 네트워크를 포함하는 장치.
  5. 제 3항에 있어서, 상기 RF 정합 네트워크는 평형 안테나 임피던스 정합 네트워크를 포함하는 장치.
  6. 제 3항에 있어서, 상기 RF 정합 네트워크는 지표 안테나 정합 네트워크를 포함하는 장치.
  7. 제 1항에 있어서, 상기 기판 지지 표면은 RF 바이어스 용량을 갖는 정전기 척을 포함하는 장치.
  8. 제 7항에 있어서, 상기 RF 바이어스 용량은 RF 전력 공급원, 제 3 RF 정합 네트워크, 및 상기 제 3 RF 정합 네트워크를 통해 상기 기판 지지 부재에 RF 전력을 결합하기 위한 수단을 포함하며, 상기 기판 지지 부재 표면에 부 RF 바이어스를 제공하는 장치.
  9. 제 1 항에 있어서, 상기 원격 정화 챔버는 마이크로파 플라즈마 정화 챔버를 포함하는 장치.
  10. 제 9항에 있어서, 상기 마이크로파 플라즈마 정화 챔버는 정화 가스로서 여기된 불소 가스 원자를 공급하는 장치.
KR1019970034125A 1996-07-15 1997-07-15 유도 결합된hdp-cvd반응기 KR100268158B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08-679927 1996-07-15
US08/679,927 US6170428B1 (en) 1996-07-15 1996-07-15 Symmetric tunable inductively coupled HDP-CVD reactor

Publications (2)

Publication Number Publication Date
KR980011769A KR980011769A (ko) 1998-04-30
KR100268158B1 true KR100268158B1 (ko) 2000-12-01

Family

ID=24728963

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970034125A KR100268158B1 (ko) 1996-07-15 1997-07-15 유도 결합된hdp-cvd반응기

Country Status (6)

Country Link
US (2) US6170428B1 (ko)
EP (1) EP0819780B1 (ko)
JP (2) JP4688983B2 (ko)
KR (1) KR100268158B1 (ko)
DE (1) DE69727624T2 (ko)
TW (1) TW363212B (ko)

Families Citing this family (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6797188B1 (en) * 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
DE69723566T2 (de) * 1997-12-17 2004-06-03 Tokyo Electron Ltd. Verfahren zur Behandlung eines Halbleitersubstrates
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
JP2002512440A (ja) * 1998-04-21 2002-04-23 アプライド マテリアルズ インコーポレイテッド 差動プラズマパワーを使用して高アスペクト比ギャップのプロファイルを修正する方法および装置
KR100296137B1 (ko) * 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6395095B1 (en) 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6302966B1 (en) 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
WO2001037316A1 (en) * 1999-11-15 2001-05-25 Lam Research Corporation Temperature control system for plasma processing apparatus
TW518639B (en) 1999-11-18 2003-01-21 Tokyo Electron Ltd Heat treatment device, cooling treatment device and cooling treatment method
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
KR100446875B1 (ko) * 2000-01-12 2004-09-04 동경 엘렉트론 주식회사 진공 처리 장치 및 진공 처리 방법
KR100704591B1 (ko) * 2000-03-21 2007-04-09 주성엔지니어링(주) Cvd 장치 및 그 내부 세정방법
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
DE10024699A1 (de) * 2000-05-18 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
US6559052B2 (en) * 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6843258B2 (en) 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
US7510664B2 (en) 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
US20020144706A1 (en) * 2001-04-10 2002-10-10 Davis Matthew F. Remote plasma cleaning of pumpstack components of a reactor chamber
KR100397047B1 (ko) * 2001-05-08 2003-09-02 삼성전자주식회사 정전척의 냉각장치 및 방법
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6577113B2 (en) 2001-06-06 2003-06-10 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
US6936842B2 (en) * 2001-06-27 2005-08-30 Applied Materials, Inc. Method and apparatus for process monitoring
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US7816188B2 (en) * 2001-07-30 2010-10-19 Sandisk 3D Llc Process for fabricating a dielectric film using plasma oxidation
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
DE10141142B4 (de) * 2001-08-24 2004-11-11 Roth & Rau Ag Einrichtung zur reaktiven Plasmabehandlung von Substraten und Verfahren zur Anwendung
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6660177B2 (en) * 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
DE10216786C5 (de) * 2002-04-15 2009-10-15 Ers Electronic Gmbh Verfahren und Vorrichtung zur Konditionierung von Halbleiterwafern und/oder Hybriden
US20080190558A1 (en) * 2002-04-26 2008-08-14 Accretech Usa, Inc. Wafer processing apparatus and method
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US20030224619A1 (en) * 2002-06-04 2003-12-04 Yoshi Ono Method for low temperature oxidation of silicon
JP3820188B2 (ja) 2002-06-19 2006-09-13 三菱重工業株式会社 プラズマ処理装置及びプラズマ処理方法
TWI235433B (en) * 2002-07-17 2005-07-01 Tokyo Electron Ltd Oxide film forming method, oxide film forming apparatus and electronic device material
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7604708B2 (en) * 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US7371992B2 (en) 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
KR100756095B1 (ko) * 2003-05-02 2007-09-05 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마처리장치
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
KR100526928B1 (ko) * 2003-07-16 2005-11-09 삼성전자주식회사 식각장치
JP4532948B2 (ja) * 2004-03-19 2010-08-25 積水化学工業株式会社 プラズマ処理方法
JP2005063760A (ja) * 2003-08-08 2005-03-10 Sekisui Chem Co Ltd プラズマ処理方法および処理装置
KR20060064047A (ko) * 2003-08-08 2006-06-12 세키스이가가쿠 고교가부시키가이샤 플라즈마 처리 방법 및 장치
US7297892B2 (en) * 2003-08-14 2007-11-20 Rapt Industries, Inc. Systems and methods for laser-assisted plasma processing
US7304263B2 (en) * 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050079729A1 (en) * 2003-10-08 2005-04-14 Woo-Sung Jang High density plasma oxide film deposition apparatus having a guide ring and a semiconductor device manufacturing method using the same
KR20060120707A (ko) * 2003-12-15 2006-11-27 어플라이드 머티어리얼스, 인코포레이티드 Cvd 막 특성들의 개선을 위한 에지 플로우 면판
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
CA2562786A1 (en) * 2004-04-30 2005-11-17 Pdp Compass, Llc Foldable stroller
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US20060024451A1 (en) * 2004-07-30 2006-02-02 Applied Materials Inc. Enhanced magnetic shielding for plasma-based semiconductor processing tool
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060049036A1 (en) * 2004-09-09 2006-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for real-time control and monitor of deposition processes
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
US20060075967A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR20060059305A (ko) * 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060185591A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US20060260645A1 (en) * 2005-02-22 2006-11-23 Appleyard Nicholas J Methods and apparatus for processing wafers
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20060238954A1 (en) * 2005-04-21 2006-10-26 Applied Materials, Inc., A Delaware Corporation Electrostatic chuck for track thermal plates
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7811411B2 (en) * 2005-08-09 2010-10-12 Applied Materials, Inc. Thermal management of inductively coupled plasma reactors
US7651587B2 (en) * 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
KR100842739B1 (ko) * 2006-05-02 2008-07-01 주식회사 하이닉스반도체 고밀도 플라즈마 증착 장치의 정전척
WO2007142850A2 (en) * 2006-06-02 2007-12-13 Applied Materials Gas flow control by differential pressure measurements
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
DE102006028977B4 (de) * 2006-06-23 2012-04-12 Qimonda Ag Sputterdepositions-Vorrichtung
US20080029484A1 (en) * 2006-07-25 2008-02-07 Applied Materials, Inc. In-situ process diagnostics of in-film aluminum during plasma deposition
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US7740706B2 (en) 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US20080299775A1 (en) * 2007-06-04 2008-12-04 Applied Materials, Inc. Gapfill extension of hdp-cvd integrated process modulation sio2 process
KR101046520B1 (ko) 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
US7867921B2 (en) * 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US7704897B2 (en) * 2008-02-22 2010-04-27 Applied Materials, Inc. HDP-CVD SiON films for gap-fill
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
WO2009146432A1 (en) * 2008-05-30 2009-12-03 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
WO2011123125A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
EP2299922B1 (en) * 2008-05-30 2016-11-09 Colorado State University Research Foundation Apparatus for generating plasma
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
CN101351076B (zh) * 2008-09-16 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备
CN102197714A (zh) * 2008-10-21 2011-09-21 应用材料股份有限公司 清洁腔室及工艺所用的等离子体源
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
JP2010192197A (ja) * 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
DE102009044276A1 (de) * 2009-10-16 2011-05-05 Aixtron Ag CVD-Reaktor mit auf einem mehrere Zonen aufweisenden Gaspolster liegenden Substrathalter
US8222822B2 (en) * 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
WO2011119611A2 (en) * 2010-03-22 2011-09-29 Applied Materials, Inc. Dielectric deposition using a remote plasma source
JP2013529352A (ja) 2010-03-31 2013-07-18 コロラド ステート ユニバーシティー リサーチ ファウンデーション 液体−気体界面プラズマデバイス
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5685405B2 (ja) * 2010-09-03 2015-03-18 株式会社日立ハイテクノロジーズ 真空処理装置
US10595365B2 (en) 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
TWI646869B (zh) 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8920888B2 (en) * 2012-04-04 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma process, film deposition method and system using rotary chuck
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
TWI474368B (zh) * 2012-12-27 2015-02-21 Metal Ind Res & Dev Ct 電漿處理系統及其射頻阻抗匹配裝置
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
WO2014164300A1 (en) * 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
JP6595396B2 (ja) * 2016-04-21 2019-10-23 東京エレクトロン株式会社 プラズマ処理装置
JP6592394B2 (ja) * 2016-04-21 2019-10-16 東京エレクトロン株式会社 プラズマ処理装置の保守方法
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
JP7125427B2 (ja) * 2017-05-31 2022-08-24 アプライド マテリアルズ インコーポレイテッド 遠隔プラズマ酸化チャンバ
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11955362B2 (en) 2017-09-13 2024-04-09 Applied Materials, Inc. Substrate support for reduced damage substrate backside
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN108048820A (zh) * 2017-12-22 2018-05-18 江苏鲁汶仪器有限公司 气相沉积设备和气相沉积方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
US11610792B2 (en) * 2019-08-16 2023-03-21 Applied Materials, Inc. Heated substrate support with thermal baffles
JP7032554B2 (ja) * 2019-12-25 2022-03-08 三菱電機株式会社 マイクロ波プラズマ処理装置
CN116917533A (zh) * 2021-02-19 2023-10-20 应用材料公司 基板支撑件、处理基板的方法、以及处理系统
JPWO2022230729A1 (ko) * 2021-04-26 2022-11-03
US11917744B2 (en) * 2021-07-22 2024-02-27 Perkinelmer Scientific Canada Ulc Heat management for inductively coupled plasma systems
DE102022102768A1 (de) * 2022-02-07 2023-08-10 Stephan Wege Symmetrischer Prozessreaktor
JP7358576B1 (ja) 2022-07-21 2023-10-10 積水化学工業株式会社 成膜装置及び膜付きウェハの製造方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR890004881B1 (ko) * 1983-10-19 1989-11-30 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리 방법 및 그 장치
JPS63119525A (ja) * 1986-11-08 1988-05-24 Hitachi Electronics Eng Co Ltd プラズマcvd装置
US4878994A (en) * 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
JP2680338B2 (ja) * 1988-03-31 1997-11-19 株式会社東芝 静電チャック装置
EP0416774B1 (en) * 1989-08-28 2000-11-15 Hitachi, Ltd. A method of treating a sample of aluminium-containing material
WO1991009150A1 (en) * 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
JP2635267B2 (ja) * 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5365057A (en) 1993-07-02 1994-11-15 Litton Systems, Inc. Light-weight night vision device
JP2764524B2 (ja) * 1993-09-28 1998-06-11 名古屋大学長 ラジカルの制御装置
JP3276023B2 (ja) * 1993-10-20 2002-04-22 東京エレクトロン株式会社 プラズマ処理装置の制御方法
JP3172759B2 (ja) 1993-12-02 2001-06-04 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP3043217B2 (ja) * 1994-02-22 2000-05-22 東京エレクトロン株式会社 プラズマ発生装置
EP0660449A3 (en) 1993-12-09 1997-01-08 Santa Barbara Res Center Electrical leadthrough and its manufacture, as well as device that uses the leadthrough.
TW296534B (ko) * 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
US5463525A (en) * 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
EP0668608A1 (en) 1994-02-22 1995-08-23 Applied Materials, Inc. Electrostatic chuck with erosion-resistant electrode connection
JP3276514B2 (ja) * 1994-04-26 2002-04-22 東京エレクトロン株式会社 プラズマ処理装置
DE69531880T2 (de) * 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
JPH07335563A (ja) * 1994-06-10 1995-12-22 Mitsubishi Electric Corp プラズマcvd装置
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
JP3247270B2 (ja) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5767628A (en) * 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US5824604A (en) * 1996-01-23 1998-10-20 Mattson Technology, Inc. Hydrocarbon-enhanced dry stripping of photoresist
US5669975A (en) * 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6027601A (en) * 1997-07-01 2000-02-22 Applied Materials, Inc Automatic frequency tuning of an RF plasma source of an inductively coupled plasma reactor

Also Published As

Publication number Publication date
KR980011769A (ko) 1998-04-30
DE69727624D1 (de) 2004-03-25
DE69727624T2 (de) 2004-10-14
EP0819780B1 (en) 2004-02-18
JPH10116826A (ja) 1998-05-06
US6170428B1 (en) 2001-01-09
EP0819780A2 (en) 1998-01-21
JP2008091938A (ja) 2008-04-17
JP4688983B2 (ja) 2011-05-25
TW363212B (en) 1999-07-01
US6182602B1 (en) 2001-02-06
EP0819780A3 (en) 1998-05-27

Similar Documents

Publication Publication Date Title
KR100268158B1 (ko) 유도 결합된hdp-cvd반응기
US6077357A (en) Orientless wafer processing on an electrostatic chuck
US6189483B1 (en) Process kit
US5994662A (en) Unique baffle to deflect remote plasma clean gases
US6083344A (en) Multi-zone RF inductively coupled source configuration
US6109206A (en) Remote plasma source for chamber cleaning
US6286451B1 (en) Dome: shape and temperature controlled surfaces
KR100639849B1 (ko) Cvd 프로세싱 챔버에 대한 가스 분배 시스템
JP4801250B2 (ja) 堆積チャンバ内の基板上に膜を堆積する方法
US7074298B2 (en) High density plasma CVD chamber
US6039834A (en) Apparatus and methods for upgraded substrate processing system with microwave plasma source
US7354501B2 (en) Upper chamber for high density plasma CVD
KR100522903B1 (ko) 화학 증착 챔버내의 가스 유동 경로에서 받침대 주변에 있는 구성부재
US6214162B1 (en) Plasma processing apparatus
JP4817528B2 (ja) 電子ワークピース製造装置
WO2007046414A1 (ja) 処理装置
JP3150058B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR19980071011A (ko) 고온 및 고 흐름 속도의 화학적 기상 증착 장치 및 관련증착 방법
KR20010032700A (ko) 프로세싱 챔버 및 플라즈마 구속방법
US6435197B2 (en) Method of cleaning a semiconductor fabricating apparatus
KR19980071012A (ko) 고온 및 고 증착율의 티타늄 막을 증착하기 위한 방법 및 장치

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
O035 Opposition [patent]: request for opposition
O132 Decision on opposition [patent]
FPAY Annual fee payment

Payment date: 20130628

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20140627

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20150630

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 17