KR100522903B1 - 화학 증착 챔버내의 가스 유동 경로에서 받침대 주변에 있는 구성부재 - Google Patents

화학 증착 챔버내의 가스 유동 경로에서 받침대 주변에 있는 구성부재 Download PDF

Info

Publication number
KR100522903B1
KR100522903B1 KR1019970032160A KR19970032160A KR100522903B1 KR 100522903 B1 KR100522903 B1 KR 100522903B1 KR 1019970032160 A KR1019970032160 A KR 1019970032160A KR 19970032160 A KR19970032160 A KR 19970032160A KR 100522903 B1 KR100522903 B1 KR 100522903B1
Authority
KR
South Korea
Prior art keywords
pedestal
wafer
chamber
substrate processing
ring
Prior art date
Application number
KR1019970032160A
Other languages
English (en)
Other versions
KR980009511A (ko
Inventor
윤 쟈오
아쇼크 신하
아비 테프만
메이 챵
리 루오
알렉스 쉬레이버
탈렉스 사요토
스테판 울프
찰스 돈페스트
미첼 데네크
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR980009511A publication Critical patent/KR980009511A/ko
Application granted granted Critical
Publication of KR100522903B1 publication Critical patent/KR100522903B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 기판 프로세싱 챔버, 특히 전도성 물질의 열증착 및 연속적으로 수행된 플라즈마 프로세스를 위해 사용된 화학 증착(CVD) 챔버에 관한 것이다. 본 발명은 챔버를 배출시키는 펌핑 채널에서 및 웨이퍼를 지지하고 있는 받침대의 주변부 상의 전도성 물질의 열 증착을 감소시킨다. 받침대 상에 설치되며, 바람직하게는 웨이퍼를 중심 위치시키는데 이용된 주변 링은 그 온도가 웨이퍼의 온도보다 실질적으로 낮게 유지되도록 받침대로부터 단열된다. 주변 링의 단열에도 불구하고, 상기 주변 링은 아아크(arcing)를 방지하기 위해 받침대에 전기적으로 연결된다. 펌핑 채널은 다양한 부재와 일렬로 정렬되고, 상기 다양한 부재들 중 그 일부가 전기적으로 플로팅되고, 이들 부재 상에 증착된 전도성 재료가 웨이퍼를 프로세싱하는데 발생된 플라즈마에 악영향을 끼치지 않도록 설계된다.

Description

화학 증착 챔버내의 가스 유동 경로에서 받침대 주변에 있는 구성부재 {COMPONENTS PERIPHERAL TO THE PEDESTAL IN THE GAS FLOW PATH WITHIN A CHEMICAL VAPOR DEPOSITION CHAMBER}
본 발명은 반도체 제조장치에 관한 것이다. 특히, 본 발명은 반응기 챔버 내외로의 가스 유동을 유지하는 화학 증착(CVD)용 플라즈마 반응기 내에 사용되는 구성부재에 관한 것이다.
반도체 집적 회로는 일부가 반전도성 물질, 절연 물질, 및 전도성 재료로 패턴화된 다층과, 접착과, 이동 장벽(migration barrier)과, 그리고 저항 접촉(ohmic contacts)과 같은 기능을 제공하는 부가층으로 제조된다. 이들 다양한 물질의 박막(thin film)은 많은 방식으로 증착 또는 형성되는데, 현대의 프로세싱에서 가장 중요한 방법은 스퍼터링(sputtering)으로 알려진 물리 증착(PVD)과, 화학 증착(CVD)이다.
CVD에서, 예를들어 패턴화된 상부에 형성된 실리콘 또는 다른 재료층을 이미 갖추고 있을 수도 있는 실리콘 웨이퍼와 같은 기판은 기판의 표면에서 반응하고 기판 상에 반응 생성물을 증착시켜 기판 상에 막을 성장시키는 전구체 가스에 노출된다. 간단한 실시예로, 챔버로부터 배출되는 가스 부산물을 형성하는 수소와 함께 실리콘을 증착하기 위해 실란(silane;SiH4)을 사용한다. 그러나, 본 건은 질화 티타늄(TiN)과 같은 전도성 재료의 화학 증착에 초점이 맞추어져 있다.
이러한 표면 반응은 적어도 2가지의 서로 다른 방식으로 활성화될 수 있다. 열 프로세스에서, 기판은 충분히 높은 온도로 가열되어 상기 기판 상에서 반응하고 기판 상에 층을 증착시키기 위해 기판에 인접한 전구체 가스의 분자에 활성 에너지를 제공한다. 플라즈마 강화 화학 증착 프로세스(PECVD)에서, 전구체 가스는 플라즈마를 형성하는 충분히 높은 필드(field)의 영향을 받는다. 결과적으로, 전구체 가스는 이온(ions) 또는 라디칼(radicals)과 같은 활성화상태로 여기되는데, 이 활성상태는 소정의 층의 재료를 형성하기 위해 기판 표면 상에서 용이하게 반응한다.
발명자 "쟈우(Zhao)" 등은 본 발명에 참조되고 공동 양수인에게 양도되어 양도료 지급이 결정된 1994년 11월 30일자 미국 특허출원 제 08/348,273호에서 CVD 증착 챔버의 실시예를 설명하고 있다. 이러한 형태의 CVD 챔버는 캘리포니아 산타 클라라에 위치한 "어플라이드 머티어리얼스 인코포레이티드"의 제품인 CVD DxZ 챔버가 이용될 수 있다.
본 발명에서 설명되고 도 1의 측단면도에 도시된 바와 같이, CVD 반응기 챔버(30)는 CVD에 의해 재료층으로 증착될 웨이퍼(36)를 지지표면(34) 상에서 지지하는 받침대(32)를 포함한다. 리프트 핀(38)은 받침대(32) 내에서 미끄러질 수 있으나, 상단부 상의 원추형 헤드에 의해 강하상태가 유지된다. 리프트 핀(38)의 하단부는 수직이동이 가능한 리프팅 링(39)과 결합될 수 있어서 받침대의 표면(34) 위쪽으로 상승될 수 있다. 받침대(32)는 수직이동이 가능하고, 리프트 핀(38) 및 리프팅 링(39)과 협력하여, 도시되지 않은 로봇 블레이드가 챔버(30) 안으로 웨이퍼를 운반하며, 리프트 핀(38)은 웨이퍼를 로봇 블레이드로부터 승강시키며, 이어서 받침대는 리프트 핀(38)으로부터 그 지지표면(34) 상으로 웨이퍼(36)를 상승시키기 위해 승강한다.
이어서, 받침대(32)는 반대쪽 웨이퍼(36)에 프로세스 가스를 분사하기 위한 다수의 통로(42)를 포함하는 샤워헤드(showerhead)로서 종종 지칭되는 가스 분배 면판(40)의 반대쪽에 밀착되게 웨이퍼(36)를 더 상승시킨다. 즉, 통로(42)는 웨이퍼(36)를 향하여 프로세싱 공간(56) 안으로 프로세스 가스를 안내한다. 프로세스 가스는 제 1 디스크형 매니폴드(48) 쪽으로 가스 공급 커버 플레이트(46) 내의 중앙 가스 흡입구(44)를 통해서 및, 이로부터 샤워헤드(40)의 후부 속의 제 2 디스크형 매니폴드(54) 쪽으로 배플 플레이트(baffle plate;52) 내의 통로(50)를 통해서 반응기(30) 안으로 분사된다.
화살표로 지시된 바와 같이, 프로세스 가스는 가깝게 이격된 웨이퍼(36)의 표면에서 반응하기 위하여 샤워헤드(40) 내의 홀(42)로부터 샤워헤드(40)와 받침대(32) 사이의 프로세싱 공간(56) 안으로 분사된다. 반응되지 않은 프로세스 가스 및 반응 부산물은 받침대(32)의 상부 주변부를 에워싸고 있는 환상 펌핑 채널(60)을 향해 방사상의 외측으로 유동한다. 펌핑 채널(60)은 일반적으로 폐쇄되지만, 수용단부 상에 펌핑 채널(60)과 웨이퍼(36) 위의 프로세싱 공간(56) 사이의 환상 쵸크 어퍼쳐(62)가 포함된다. 쵸크 어퍼쳐(62)는 후술되고 리드 림(66) 내에 고정되어 있는 절연체(64)와, 주챔버 몸체(72)의 내부 상의 레지(ledge;70) 상에 고정되어 있는 절연 환상 챔버 삽입물(68) 사이에 형성된다. 쵸크 어퍼쳐(62)는 주챔버 몸체와 챔버에 부착된 제거가능한 리드 사이에 형성되어 전체적으로 환상의 쵸크 어퍼쳐(62)가 이루어질 수 있다. 쵸크 어퍼쳐(62)는 샤워헤드(40)와 웨이퍼(36) 사이의 프로세싱 공간(56)의 깊이보다 상당히 좁은 폭을 가지고, 예를들어 적어도 5배 만큼 원주 펌핑 채널(60)의 최소 측방 크기보다 상당히 작은 크기를 갖는다. 쵸크 어퍼쳐(62)의 폭은 작동압력 및 가스 유동에서 충분한 공기역학적 저항을 발생시키기 위하여 충분히 작고 충분히 긴 길이로 만들어지므로 쵸크 어퍼쳐(62)를 가로지르는 압력 강하는 웨이퍼(36)의 반경을 가로지르는 또는 환상 펌핑 채널(60)의 원주 주변에서의 어떠한 압력 강하보다 실질적으로 더 크다. 실제로, 웨이퍼(36)의 중심으로부터 펌핑 채널(60) 안으로의 압력 강하가 펌핑 채널(60) 내의 주변 압력 강하의 10%를 넘지 않는 충분한 공기역학적 임피던스를 쵸크 어퍼쳐(62)가 유도한다는 것은 일반적이다.
펌핑 채널(60)은 수축된(constricted) 배기 어퍼쳐(74)를 통해 펌핑 플레넘(76)에 연결되고, 밸브(78)는 배기 벤트(80)를 통해서 배기 가스를 진공 펌프(82)에 배출한다. 수축된 배기 어퍼쳐(74)는 펌핑 채널(60) 내의 압력이 실질적으로 일정하도록 공기역학적 임피던스를 도입한다는 점에서 쵸크 어퍼쳐(62)의 기능과 비슷한 기능을 수행한다.
수축된 쵸크 및 배기 어퍼쳐(62, 74)는 주변 펌핑 채널(60)의 둘레에 거의 일정한 압력을 발생시킨다. 웨이퍼(36)를 가로지르는 최종 가스 분배 유동 형태는 도 2의 화살표된 라인(84)으로 도시되어 있다. 프로세스 가스 및 상기 프로세스 가스의 반응 부산물은 웨이퍼(36)를 가로지르는 샤워헤드(40)의 중심 및 방사상의 통로(84)를 따라 받침대(32)의 주변부로부터 쵸크 어퍼쳐(62)를 통해 펌핑 채널(60)로 유동한다. 이어서, 가스는 배출 어퍼쳐(74)를 향해 펌핑 채널(60) 내의 통로(86)를 따라 원주방향으로 및, 배출 플레넘(76) 및 배출 벤트(80)를 통해 진공펌프(82)로 유동한다. 수축된 구멍(62,74) 때문에, 웨이퍼(36)를 가로지르는 방사상의 유동(84)은 방위각의 방향으로 거의 일정하다.
도 1 및 도 3(도 1의 상부 우측 가장자리의 확대도)에 도시된 바와 같이, 챔버 몸체(72)내의 레지(70)는 챔버 쉴드 라이너(68)를 지지하고, 상기 챔버 쉴드 라이너(68)는 펌핑 채널(60)의 바닥을 형성한다. 챔버 리드 림(66)은 챔버 몸체(72)의 일부와 함께 펌핑 채널(60)의 상부 및 외부벽의 일부를 형성한다. 펌핑 채널(60)의 내부 상부 에지는 절연체 링(64)에 의해 형성되는데, 상기 절연체 링(64)은 챔버 몸체(72)로부터 금속제 샤워헤드(40)를 절연시키는 세라믹 또는 다른 절연 물질로 만들어진다.
도 1의 CVD 반응기(30)는 열 및 플라즈마 강화된 2가지 형태로 작동될 수 있다. 열적 형태에서, 전원(90)은 받침대(32)의 상부에 있는 저항 가열기(92)에 전력을 공급하여 CVD 증착 반응을 열적 활성화시키기에 충분한 온도로 받침대(32) 및 웨이퍼(36)를 가열한다. 플라즈마 강화된 형태에서, RF 전원(94)은 스위치(96)에 의해 금속 샤워헤드(40)로 통과되며, 상기 금속 샤워헤드(40)는 전극으로 작용한다. 샤워헤드(40)는 전기적으로 비전도성인 세라믹으로 형성된, 환상 절연체 링(64)에 의해 리드 림(66) 및 주 챔버 몸체(72)로부터 절연된다. 받침대(32)는 RF 전력이 샤워헤드(40)와 받침대(32) 사이에서 분리되도록 RF 전원(94)과 관련된 바이어싱 부재(98)에 연결된다. 샤워헤드(40)와 받침대(32) 사이의 프로세싱 공간(56) 내에서 프로세스 가스가 플라즈마를 형성 및 방전하도록 RF 전원(94)에 의해 충분한 전압 및 전력이 공급된다.
단지 근래에는 발명자 "산쥬(Sandhu)"등에 의한 미국 특허출원 제 07/898,059호에 개시된 열 TDMAT 프로세스를 이용하여, 질화 티타늄(TiN)과 같은 전도성 재료의 막을 증착하기 위해 이러한 일반적인 형태의 CVD 반응기를 사용하도록 시도되어 왔다. 관련된 플라즈마 프로세스는 발명자 "산쥬" 등에 의한 미국 특허 제 5,246,881호에 개시되어 있다. 이 챔버 내에서 전도성 재료의 증착은 본 발명에 의해 해결되는 몇가지의 문제점이 드러났다.
질화 티타늄은 적절히 양호한 전기적 도전체이지만, 반도체 프로세싱에서는 장벽층으로서의 작용 및 아교층으로서 티타늄을 지지하는데 주로 이용된다. 이러한 프로세스는 산화물 층(100), 통상 이산화규소(SiO2)가 결정성 실리콘 또는 폴리 실리콘의 표면을 갖는 기판(102) 위로 약 1 ㎛의 두께로 증착되는, 도 4의 횡단면도에 도시된 접촉 구조체에 자주 적용된다. 산화물 층(100)은 층간 절연막으로서 작동하지만, 층 사이에 전기 접촉을 제공하기 위해 접촉 홀(104)이 산화물 층(100)을 통해 에치되어 알루미늄과 같은 금속으로 충전된다. 그러나, 진보된 집적 회로에서, 접촉 홀(104)은 좁고, 종종 0.35㎛보다 작으며, 3 이상의 종횡비를 갖는다. 상기 홀을 충전하는 것은 어렵지만, 홀(104)이 제일 먼저 티타늄 층(106)과 등각 코팅되고, 그 후 티타늄 층(106)이 질화 티타늄 층(108)으로 등각 코팅되는 어느 정도의 표준 프로세스가 개발되었다. 그 후, 알루미늄 층(110)은 접촉 홀(104)을 충전하기 위해, 그리고 상부 높이부 상의 전기적 상호연결 라인을 제공하기 위해 일반적으로 물리 증착에 의해 증착된다. 티타늄 층(106)은 측벽 상의 산화물과 하부 실리콘에 접착층(glue layer)을 제공한다. 또한, 저항 접촉을 이루기 위해 하부 실리콘으로 규화될 수 있다. TiN 층(108)은 티타늄 층(106)에 잘 접착되고, 알루미늄 층(110)은 알루미늄이 포함된 공극을 형성하지 않고 접촉 홀(104)을 보다 양호하게 충전할 수 있도록 TiN 층에 잘 젖는다. 또한, TiN 층(108)은 알루미늄(110)이 실리콘(102) 안으로 이동하여 그 전도성에 영향을 미치는 것을 방지하기 위한 장벽으로 작용한다. 기판(102)이 알루미늄 표면 미세구조물을 포함하는 비어 구조물에서, 티타늄 층(106)은 필요하지 않을 수도 있다. 티타늄 및 질화 티타늄의 전기 전도성이 알루미늄의 전기 전도성 만큼 높지 않을지라도, 상기 티타늄 및 질화 티타늄은 얇은 층 내에서 충분히 전도성이 있어서 양호한 전기 전도성을 제공한다.
티타늄 및 질화 티타늄은 CVD 또는 PVD로 증착될 수 있지만, CVD는 높은 종횡비를 갖는 홀 내에서 등각층을 보다 용이하게 형성하는 장점을 갖는다. 열적 TDMAT 프로세스는 좁은 홀 내에서 질화 티타늄을 등각 코팅하기 위한 CVD 프로세스이다.
TDMAT 프로세스에서, 테트라키스-디메틸아미도-티타늄 (Ti(N(CH4)2)4)의 전구체(precursor) 가스는 받침대(32)가 약 360℃ 또는 그 이상의 상승된 온도로 기판(36)을 유지하는 동안 약 1 내지 9 토르(Torr)의 압력으로 샤워헤드(40)를 통해 챔버안으로 분사된다. 이로인해, 전도성의 등각 TiN 층은 CVD 프로세스에서 기판(36) 상에 증착된다. TDMAT 프로세스는 전구체 가스의 플라즈마 여기에 의존하지 않는 열적 프로세스이다.
그러나, TDMAT 프로세스에 의해 초기에 형성된 TiN 층이 전도성을 낮추는 폴리머를 포함한 형태의 탄소의 초과량을 포함한다는 것은 알려져 왔다. 따라서, TDMAT 증착은 일반적으로 증착된 TiN 층을 플라즈마 처리하는 제 2 단계를 수반한다. 챔버 내의 TDMAT 가스는 0.5 내지 10 토르의 압력에서 약 50:50의 비로 H2 및 N2 의 가스 혼합물에 의해 대체되고, RF 전원(94)은 플라즈마를 형성하기 위해 H2 : N2 가스를 방전하기에 충분한 전기장을 샤워헤드(40)와 받침대(32) 사이에 발생시키도록 접속된다. 플라즈마 내의 수소 및 질소종은 탄소질 중합물을 시스템으로부터 배출되는 휘발성 부산물로 환원시킨다. 플라즈마 처리과정에 의해 탄소를 제거하여 TiN 막의 품질을 개선시킨다.
열적 CVD 증착에서와 동일한 챔버 내에서 수행될 때, 플라즈마 처리 프로세스는 균일성 및 재생가능성을 포함한 몇가지 문제점을 드러낸다. 상기 문제점은 챔버 내에 과잉 입자를 생성하고 플라즈마에 영향을 주는 반응기 표면상에서의 이질 금속의 증착으로부터 발생하는 것으로 믿어진다. 또한 두 지역, 즉 기판(36) 외부의 받침대(32) 상부에 있는 지역 및 펌핑 채널(60)내의 및 그 둘레의 지역에서 증착이 발생하는 것으로 믿어진다.
받침대(32)의 노출된 부분이 웨이퍼(36)의 온도와 동일한 온도 및 종종 상기 웨이퍼(36)의 온도보다 높은 온도이기 때문에 첫 번째 문제는 받침대(32) 상의 이질 금속의 증착에 관한 것이라고 믿어진다. 도 3의 횡단면도에 도시된 바와 같이, 웨이퍼(36)의 외부 에지를 지나 연장하는 받침대(32)의 부분에는 다음의 기구로부터 증착된 재료의 형성물(120)이 야기된다.
전도성 TiN이 증착되는 TDMAT 프로세스의 열적 상태 중에, 받침대(32) 내에 설치된 도 1의 가열기(92)는 받침대(32)를 가열하고, 열은 받침대(32)로부터 웨이퍼(36)에 전달된다. 받침대(32)의 노출된 부분이 웨이퍼(36)의 온도보다 충분히 더 높은 온도로 되는 몇가지 이유가 있다. 샤워헤드(40)는 반대편 부재로부터 열을 용이하게 흡수(sink)하기 위해 많이 낮은 온도, 일반적으로 약 100℃ 에서 작동한다. 다른 한편으로, 웨이퍼(36)는, 받침대(32) 상에서 불완전하게 열 흡수되고, 받침대(32)로부터 웨이퍼로 전도된 열을 직접 복사하고 보다 높은 열전도성 받침대(32)가 전달하는 것보다 보다 열악하게 전달한다. 또한, 챔버는 저온 플라즈마 처리 단계에 대해 이용되고, 추가의 시간이 챔버의 내외로 웨이퍼를 운반하는데 소비되기 때문에, 고온 작동에 대한 듀티 사이클은 상대적으로 낮으며 웨이퍼(36)를 요구된 고온의 프로세싱 온도로 가열할 필요가 있다. 프로세싱 온도로 웨이퍼(36)의 온도를 빠르게 상승시키기 위해, 받침대(32)의 온도는 웨이퍼(36)의 온도보다 더 높은 온도로 상승된다. 이러한 모든 이유로 인해, 웨이퍼(36)의 프로세싱 온도는 받침대의 노출된 부분이 425℃의 상당히 높은 온도로 되는 동안 360℃에 놓일 수도 있다.
표면상에서의 증착 속도는 표면의 온도에 비례하기(고온 고속 증착) 때문에, 받침대(32)의 노출된 외부 에지의 보다 높은 온도는 도 3에 도시된 바와 같이 증착 막의 신속한 형성물(120)을 야기한다. 증착 막의 두께가 많은 웨이퍼의 프로세싱 사이클에 걸쳐 증가하기 때문에, 해로운 효과가 발생할 수도 있다. 에지에서 막 두께의 형성은 효과적인 프로세싱을 위해 요구된 것처럼, 웨이퍼(36)가 받침대(32)의 표면과 완전 접촉하는 것을 방지하는 인조 주변 림을 형성할 수도 있다. 유사하게도, 상기 형성물(120)이 소정의 막 두께를 초과해서 형성되면, 연속적으로 증착된 막의 층은 하부층에 완전히 부착되지 않는다. 그 후 막의 부분들은 받침대로부터 분리되고 프로세스되는 웨이퍼(36) 상으로 부유하는 입자 또는 플레이크(flakes)를 형성할 수 있다. 입자는 프로세스된 웨이퍼 상에서 결함을 발생시킬 수 있다.
이질 금속의 증착과 관련된 제 2 의 문제점은 샤워헤드(40)로부터 펌핑 채널(60)까지 챔버 진공 시스템(82)으로의 경로를 따라 프로세스 가스에 노출된 다른 표면상에서, 보다 낮은 표면온도 때문에 보다 낮은 정도로 전도성 TiN 막이 증착된다는 점이다. 도 5는 전기적으로 바이어스된 샤워헤드(40)와 접지된 리드 림(66) 사이에서 전기적 쇼트(short)를 발생시킬 수 있는 절연체 링(64)의 위 및 주변에 금속 막의 형성물(124)에 대한 실시예를 도시한 것이다. 도 5는 챔버의 상부면 상에 과장된 막 형성물(124)을 도시한 것이다. 실제로, 막은 모든 표면상에서 형성되지만, 다른 형성물은 명료하게 도시되어 있지 않다.
도 6에 도시된 이질 막 증착의 또다른 실시예는 절연된 알루미나 챔버 삽입물(68)을 거쳐 펌핑 채널(60)을 가로질러 연장하고 전기 접지된 주챔버 몸체(72)와 접촉하는 지점 쪽으로의 전도성 막의 형성물(128)이다. 따라서, 이 이질 증착물(128)은 받침대(32)의 상부 주변 에지에 매우 근접한 절연된 환상 삽입물(68)의 내부, 상부 에지로 챔버 몸체(72) 및 리드 림(66)과 관련된 접지 포텐셜을 연장시킨다. 프로세싱 공간(56) 내의 플라즈마의 위치 및 품질은 가동된 플라즈마원 전극과 에워싸고 있는 표면 사이의 거리 및, 그들 각각의 전기적 포텐셜 차이에 의존한다. 장시간의 프로세스가 작동하는 동안 챔버 삽입물(68)이 챔버 몸체(72)와 플라즈마 사이의 절연체로서 배치되는 것으로부터 접지된 전도체로 효과적으로 변화할 때, 플라즈마의 위치 및 품질은 특히 기판(36)의 에지 둘레에서 영향을 받을 것이다. 거의 인접한 전기 접지의 근접으로 인한 플라즈마의 변형은 플라즈마 내에서 불균일을 초래하여 막 증착의 두께 및 막의 표면 특성에 영향을 준다.
플라즈마 프로세싱 동안, 플라즈마의 균일성의 변화는 생성된 막의 표면 균일성에 영향을 끼친다. 따라서, 플라즈마의 강도 변화는 막 특성의 균일성에 영향을 끼칠 것이다. 절연성에 역비례하는, 플라즈마의 위치를 에워싸고 있는 절연부재의 전도성은 전도성 막이 그들 표면 상에 형성되고 전도성 막이 서로 다른 포텐셜에서 인접한 전도성 부재에 전도성 통로를 형성할 때 변화한다. 표면상 절연된 부재의 전도성 품질에 대한 이러한 변화는 프로세스 반복 가능성을 줄이는 플라즈마의 변화를 초래한다.
이질 금속의 증착과 관련된 세 번째 문제점은 플라즈마에 노출된 몇몇 전기적 플로팅 부재가 플라즈마로부터 전하(charge)를 축적한다는 점이 발생된다. 이들 충전된 피이스가 접지된 또는 전기적으로 가동된 부분에 인접한 경우에, 플로팅 부분과 접지부 또는 전극 사이에서 아아크(arcing) 기회가 항상 존재한다. 웨이퍼가 받침대 상에 지지될 때에, 웨이퍼는 아아크를 초래하기 위해 충전(charged)될 수도 있는 플로팅 부재로서 작동할 수도 있다. 아아크는 기판 내에 입자 및 결함을 발생시킨다. 따라서, 웨이퍼에서의 아아크는 방지되어야 하고 기판의 표면을 플라즈마 처리하기 위한 밀봉체의 균일성은 가능한 한 일정하게 유지되어야 한다.
잠재적으로 이들 유해한 효과를 피하기 위하여, 막 형성물이 바람직하지 않은 효과를 발생시키기 전에, 받침대의 제거 및 대체 또는 세정 관련된 세정 및 유지 사이클을 계획하는 것이 일반적으로 실행된다. 그러나, 이러한 수정은 유익하지 않다. 받침대는 고가일 뿐만 아니라, 받침대의 대체 또는 세정은 고가의 장비의 작동 중단 및 추가의 작동시간을 요한다.
서셉터의 주변부 상에서 또는 챔버내의 절연부재를 가로질러 바람직하지 않은 막의 두께의 형성물은 플라즈마 처리과정에서 수용될 수 없는 변화 또는 단락을 방지하기 위해 정기적으로 세정될 것이 요구된다. 바람직하지 않은 막의 두께의 형성물은 플라즈마 상태로 가스를 여기시키는 전기장의 강도 및 위치에 변화를 초래함으로써 단락의 위험성을 발생시킨다. 또한, 전도 또는 아아크의 위험성이 높아질 때, 세정 또는 유지 사이클은 전기장의 초기 분배를 회복하기 위해 개시된다. 다른 소비적인 또는 유지가능한 구성부재는 또한 어느정도의 간격으로 대체 또는 세정을 요구한다. 현재 전도 및 아아크의 위험성은 세정/유지 간격을 설정한다. 세정 공정 사이에서 웨이퍼의 평균 갯수는 만일 절연 부재를 가로질러 접지된 부재로 막 두께의 부착성 및 전도성의 문제점이 전술한 것처럼 감소 및 제거될 수 있다면, 급격히 증가될 수도 있다.
도 7에 개략적으로 도시된 CVD 챔버는 방사상으로 비저항 상태로 가열되는 것을 제외하고는 도 1의 CVD 챔버와 유사하다. 상기 CVD 챔버는 전도성 물질의 증착에 적용되어 왔고, 상기 전도성 물질의 한 유형 또는 다른 유형의 플라즈마 처리과정은 챔버내에서 실행된다. 이 챔버 내에서, 아르곤 처리 스퍼터링 가스는 받침대 전극(132)과 카운터 전극(134) 사이의 플라즈마(130) 내에서 활성화되었다. RF 전원(136)은 플라즈마를 활성화시키기 위해 RF 전력을 공급한다. 그러나, 만일 플라즈마가 웨이퍼 위쪽의 프로세싱 공간 내에서 잘 한정된다면, 받침대 전극(132)과 카운터 전극(134) 사이에서 전력을 선택가능하게 분리하는 정합망(138)에 RF 전력을 공급할 필요가 있다고 알려져 있다. 접지 전극과 함께 플라즈마는 웨이퍼 영역의 외부로 확산하고 전술된 이질의 증착된 금속층에 의해 더욱 영향을 받는 경향이 있기 때문에 RF 전력을 분리시키는 것은 플라즈마를 보다 잘 한정하는 것으로 믿어진다. 정합망(138)은 받침대 전극(132)에서 분리된 RF 전력이 전체 전력의 30%, 50%, 또는 70%의 분율이 되도록 허용한다.
유전체의 증착을 위해 설계된, 도 1에 도시된 형태의 CVD 챔버는 금속 재료의 증착을 허용하도록 구성되는 것이 바람직하다.
따라서, 이 챔버가 플라즈마 불안정성 및 아아크의 문제점을 완화하기 위해 개선되는 것이 바람직하다. 정기적인 보수 및 세정을 위한 주기가 감소되는 것이 또한 바람직하다.
본 발명은 반도체 기판 프로세싱 챔버, 예를들어 질화 티타늄을 증착하기 위한 챔버의 성능을 개선함으로써 세정 공정 사이의 웨이퍼의 평균 갯수를 늘린다.
챔버의 성능은 처리될 기판 에지를 넘어 연장하는 서셉터의 부분 상에서 과도한 형성물을 형성하는 증착가스의 경향을 감소시킴으로써 개선된다. 처리될 기판의 외부 에지를 둘러싸고 있는 주변링의 온도를 감소시키는 것은 형성물을 감소시킨다.
본 발명은 받침대 및 처리될 기판으로부터 단열된 기판 지지 받침대 상의 주변링을 포함한다. 주변링은 기판이 지지 받침대의 표면으로 낮춰질 때 기판의 중심 위치를 지원하는 링 위로 연장해 있는 중심 위치 보스(centering boss)를 포함한다. 중심 위치 보스는 기판에 접해 있는 링의 내부 주변 에지로부터 내부로 연장해 있는 일련의 돌출 구조물을 제공한다. 이러한 돌출은 잠재적으로 기판과 접촉한 주변링의 일부분이고, 이에 따라 기판과 주변 중심 위치 링 사이의 최소 표면접촉(및, 전도성 열전달을 위한 포텐셜)을 제공한다.
주변 중심 위치 링은 주변부 둘레의 3개의 위치에만 있는 핀 상에 지지됨으로써 받침대로부터 단열되며 이에 의해 받침대로부터 주변 중심 위치 링까지 전도성 열전달을 감소시킨다. 받침대로부터의 단열은 주변링의 바닥 측부에 부착된 일련의 절연체 링 또는 복사 쉴드(예를들어, 2개)를 제공함으로써 또한 달성된다. 복사 쉴드는 받침대로부터 주변 중심 위치 링까지 열복사의 직접적인 전달을 방지하기 위한 장벽으로서 작용한다. 이러한 단열의 결과로서 주변링의 보다 낮은 온도는 주변링의 표면 상에서 낮은 막 증착 속도를 초래하고 프로세싱 챔버에 대한 세정 사이클 사이의 웨이퍼의 평균 수를 증가시킨다. 분리된 주변링은 프로세싱 챔버의 유지 사이클 동안 쉽게 제거 및 대체될 수 있다.
받침대로부터 단열된 주변링은 웨이퍼 및/또는 다른 인접 표면에 그리고 다른 인접면으로부터 아아크를 초래할 수 있는 정전하(static charge)를 축적하게 된다. 본 발명은 주변링과 기판 또는 다른 인접표면 사이의 아아크를 제거하기 위해 주변링과 받침대 사이에 접지 스트랩(grounding strap)을 포함한다. 접지 스트랩은 가요성이 있고, 상기 접지 스트랩이 서셉터의 일반적 표준(nominal) 주변부를 지나 연장하는 돌출부를 제공하지 않도록 서셉터의 주변부 상에서 리세스된 슬롯 내에 고정된다.
성능은 연속적인 전도성 막이 챔버내의 절연부재를 가로질러 형성될 가망성을 감소시키고 거의 제거함으로써 또한 개선된다. 연속적인 쵸크 갭은 전도성 막이 절연 특성에 변화를 발생시킬 수도 있는 서로 다른 전기적 포텐셜을 가진 인접 부재에서 및 그 사이에 형성된다.
프로세싱 챔버의 리드 내의 절연부재(링)은 절연부재의 표면상에 연속 전도성 막의 형성을 방지하는 일련의 연속 쵸크 갭 표면 구조물(홈)을 포함한다. 상기 표면상에 형성된 막은 연속적이지 않으며, 따라서 가스분배 면판/전극으로부터 접지(grounding)에 전도성 경로를 제공하지 않는다. 접지에의 전기적 또는 전하 전도 및/또는 누설은 균일한 플라즈마를 형성하고 연속적인 프로세싱 사이클을 통해 기판의 균일한 프로세싱을 제공하기 위해 필요한 전기장을 제거 또는 감소시킬 것이다.
플라즈마 지역을 에워싸고 있는 금속 쉴드의 접지 가능성을 줄이기 위해, (제 2) 연속적인 쵸크 갭은 제 2 쉴드 부재와 챔버 몸체 사이의 프로세싱 챔버 주변에 형성된다. 갭 내부에 형성되어 있는 전도성 막을 갖춘 상태에 여전히 민감하지만, 갭의 폭 및 깊이는 표면 막이 갭을 가로질러 또는 갭 내에 전도성 브릿지 또는 연결체를 형성하는 것을 방지한다.
성능은 플라즈마 밀봉체의 에지를 안정시키기 위해 플라즈마 위치를 에워싸고 있는 전기적으로 플로팅된 전도성 부재를 제공함으로써 추가로 개선된다. 실례로, 전기적으로 플로팅된 금속 쉴드가 기판 프로세싱 챔버의 벽의 일부분에 덧대어 진다. 쉴드는 증착시 코팅되지만, 쉴드가 주변의 전도성 부재로부터 전기적으로 절연되기 때문에 프로세스의 안정성은 유지된다. 쉴드는 플라즈마의 경로에 장벽을 제공한다. 전도성의 (금속) 쉴드상의 정전하는 쉴드를 가로질러 균일하게 분배되고 결과적으로 플라즈마 밀봉체의 에지는 안정화된다.
또다른 개선점은 하부 전극(서셉터)이 접지되는 동안 상부 전극(가스 분배 플레이트)에 유일하게 제공된 RF 전력의 사용과 관계된다. 이러한 100% 내지 0%의 전력 분리는 TiN 막 증착을 수행하는 챔버내에서 막의 균일한 특성에 개선사항을 입증한다.
본 발명은 서셉터의 상부로부터 일련의 지지점 구조물을 제공하는 단계와 서셉터에서 직접적인 노출로부터 주변링의 일부분을 쉴딩하는 복사 쉴드 링을 제공하는 단계를 포함하는, 기판의 에지를 지나 연장해 있는 서셉터 내의 주변링을 절연하는 방법을 포함한다. 또다른 방법은 주변링에 전기적으로 연결된 접지 스트랩을 제공하는 단계와 서셉터에 접지 스트랩의 일부분을 제거가능하게 부착하는 단계를 포함한다. 본 발명의 또다른 방법은 RF 가동 전극과, 상기 RF 가동 전극과 서로 다른 전기적 포텐셜을 갖는 전기 전도성 부재 사이의 프로세싱 챔버의 대기에 적어도 한 측부상에 노출된 절연체 링을 제공하는 단계와, 프로세싱 챔버의 대기에 접한 절연 부재의 표면에 연속 쵸크 갭을 제공하는 단계를 포함한다. 본 발명의 또다른 특징은 프로세싱 챔버 내의 절연부재에 의해 지지된 쉴드를 제공하는 단계와, 표면상에 형성된 막이 틈을 연결(bridge)하여 전도성을 제공하지 않도록 내부 쉴드 부재와 접지된 표면 사이에 틈(clearance)을 제공하는 단계를 포함하는 방법을 포함한다.
본 발명은 또한 전원에 전극 가스 분배 플레이트를 연결하는 단계와, 전극 가스 분배 플레이트의 반대쪽의 서셉터를 전극에 접지 포텐셜로 연결시키는 단계를 포함하는, TiN 증착 챔버에 전력을 공급하는 방법을 포함한다.
본 발명은 플라즈마가 형성된 위치에 인접한 프로세싱 챔버 내의 플로팅 충전된 부재 사이에서 아아크의 기회를 감소시키고 기판 외부의 서셉터 일부분 상에 과도한 증착을 피하기 위해 주변링의 온도를 감소시키는 개선점을 제공하고, 주변/중심 위치 링과 서셉터 사이에서 아아크를 제거하기 위해 기판을 가로질러 일정한 포텐셜을 제공하며, 챔버 벽 상에서의 증착에 의해 형성된 어떤 막이 RF 전극과 챔버 몸체 또는 리드 사이에 연결의 단락(short circuit connection)을 초래할 가능성을 제거하거나 대체로 감소시키는 개선점을 제공한다. 본 발명은 또한 플라즈마를 포함하고 웨이퍼를 가로지르는 비교적 일정한 이온 포텐셜로 안정상태를 유지하는데 도움을 주도록 플라즈마 영역 주변에 금속(일정한 전기적 포텐셜 분배링)의 배치를 포함한다.
도 8은 본 발명의 제 1 측면에 따른 프로세싱 챔버의 횡단면을 도시한 것이다. 받침대(140)는 그것의 상부면(144) 상에서 웨이퍼(142)를 지지한다. 프로세스 가스 흡입구(44)로 들어가는 가스는 하부 매니폴드(54) 내에서 분배되고 샤워헤드(showerhead;40) 내의 노즐(42)을 통해 프로세싱 영역 챔버 안으로 들어간다. 이어서, 프로세스 가스는 도 2에 도시된 것처럼 웨이퍼(142)의 에지(edge)를 가로질러 방사상의 외측으로, 도 8에 도시된 것처럼 받침대(140)의 상부 주변부에서 리세스된 환상 레지(ledge;148) 내에 배치된, 주변 중심 위치 링(146)을 가로질러 유동한다. 그로부터, 프로세스 가스는 변형된 환상 절연체(152)의 바닥과 변형된 챔버벽 삽입물(154)의 상부 사이에 형성된 쵸크 어퍼쳐(150)를 통해서 변형된 펌핑 채널(160) 안으로 유동한다. 챔버벽 삽입물(154)은 도시되지 않은 로봇 블레이드가 반응기 내외로 웨이퍼를 운반하도록 챔버벽 삽입물(154) 및 주챔버 몸체(72)를 관통하는 밀봉가능한 통로(156)를 갖추고 있는 것으로 도시된다.
가스가 펌핑 채널(160)로 들어가면, 프로세스 챔버에 연결된 진공 펌핑 시스템(82)에 의해 배출되도록 도 1 및 도 2에 도시된 바와 같이 종래 기술의 펌핑 채널(60)과 유사하게, 가스는 프로세스 챔버의 경계부 주변으로 루트가 정해진다.
동일한 일반 챔버는 강조되는 본 발명의 다른 측면을 포함한 도 9에 도시되어 있다. 도 10의 확대 단면도는 도 8 및 도 9의 본 발명에 따른 측면을 포함한다.
일반적으로 도시된 챔버 삽입물(154)은 주챔버 몸체(72)의 내부 레지(70) 상에 놓인 L형상의 절연 세라믹 링(164)을 포함하고, 또한 L 형상의 링(164)의 내부 레지(168) 상에 놓이고 작은 갭(gap)에 의해 받침대(140) 및 중심 위치 링(146)으로부터 이격된 환상 또는 밴드 쉴드(band shield;166)를 포함한다. 그들 자신의 세라믹 챔버 라이너는, 예를들어 발명자 "로버손(Robertson)" 등의 미국 특허 제 5,366,585호에 개시된 바와 같이 잘 알려져 있다. 밴드 쉴드(166)는 바람직하게는 알루미늄과 같은 금속으로 만들어지고, L 형상의 세라믹 링(164)의 상부 위쪽의 대체로 수직 상방으로 및 받침대(140)의 지지면(144) 위쪽으로 미소 크기로 연장한다.
환상 펌핑 채널(160)은 밴드 쉴드(166), L 형상의 링(164), 주챔버 몸체(72)와 리드 림(lid rim, 66)의 전방에 설치된 라이너(170,172) 및 절연체(152)에 의해 한정된 측면을 구비하며, 쵸크 어퍼쳐(150)는 절연체(152)와 밴드 쉴드(166) 사이에 형성된다. 그러나, 리드 라이너(170)는 리드 림(66)과 접해 있는 펌핑 채널(160)의 측부상에 설치되고 그 측부의 형상에 맞추어져 있다. 챔버 라이너(172)는 주챔버 몸체(72)와 접한 펌핑 채널(160)의 측부 상에 설치된다. 두 라이너(170,172)는 바람직하게는 알루미늄과 같은 금속으로 만들어지고, 그 위에 증착된 어떤 막의 접착력을 향상시키기 위해 비드 블라스트(bead blast)된다. 리드 라이너(170)는 다수의 핀(174)에 의해 탈착가능하게 리드 림(66)에 고정되고 리드 림(66)에 전기적으로 접지된다. 그러나, 챔버 라이너(172)는 L 형상의 세라믹 링(164)의 외측 상부상에 형성된 레지(176) 상에서 지지되고 방사상의 갭(178)이 챔버 라이너(172)와 주챔버 몸체(72) 사이에 형성되도록 직경을 갖기 위해 정확하게 형성되고, 축방향 갭(180)은 리드와 챔버 라이너(170,172) 사이에 형성된다. 즉, 챔버 라이너(172)는 전기적으로 플로팅된다.
밴드 쉴드(166) 및 리드 및 챔버 라이너(170,172)는 세트로 치수화된다. 밴드 쉴드(166)는 받침대(140)의 중심에 대하여 주 직경(d1)을 갖는 환상형이다. 챔버 라이너(172)는 또한 주 직경(d1)보다 큰 주 직경(d2)을 가진, 환상형이며 받침대(140)의 중심선을 따라 축방향으로 연장해 있는 밴드 형상이다. 리드 라이너(170)는 또한 환상형이고, 주 직경(d1)으로부터 주 직경(d2)까지 방사상으로 연장해 있는 길이가 긴 레그와 주 직경(d2)에서 축방향으로 연장해 있는 짧은 레그(leg)를 갖는 L형상을 취한다.
도 11은 받침대(140), 중심 위치 링(146), 및 라이너(170,172) 그리고 펌핑 채널(160)을 에워싸고 있는 쉴드(152,166)의 부분 단면 사시도이다. 도 11은 웨이퍼(142)를 향하여 샤워헤드(40)의 노즐(42) 밖으로 프로세스 가스의 유동에 이어서, 웨이퍼와 중심 위치 링(146)을 지나는 방사상의 외향 유동(84)을 명확하게 도시하고 있다. 그런 후, 가스 유동은 밴드 쉴드(166)의 상부를 지나 펌핑 채널(160) 안으로 상방으로 휘어지고, 펌핑 채널(160) 내에서 가스는 진공 펌프 쪽으로 원주 경로(86)를 따라 유동한다.
펌핑 채널에 관한 논의는 중심 위치 링이 다시 논의되기 전에 완성될 것이다.
도 10에서 가장 명확하게 도시된 것처럼, 펌핑 채널(160) 및 상기 펌핑 채널의 구성부재는 프로세스 공간(56) 내 및 부근에서 플라즈마의 여기(excitation)중에 어떤 증착된 전도성 막의 효과를 최소화하기 위해 설계된다.
밴드 쉴드(166)는 웨이퍼(142) 및, 웨이퍼를 지나 유동하는 대부분의 가스의 높이 위에 있기 때문에, 사공간(dead space;184)은 밴드 쉴드(166)와 만나는 L 형상의 링(164)의 상부(186)에 인접한 펌핑 채널(160)의 바닥에서 유동 형태에 형성된다. 결과적으로, 비록 금속이 밴드 쉴드(166)의 상부 부분 상에 증착될 수 있더라도, 사공간(184)은 상당한 두께의 금속이 밴드 쉴드(166)의 후부 둘레에 증착되지 않도록 보장하고, 특히 불충분한 양의 금속이 밴드 쉴드(166)와 L형상의 절연링(164)의 상부(186) 사이에 형성된 갭(188)을 연결하기 위해 증착될 것이다. 결과적으로, 비록 전도성이 있을지라도 밴드 쉴드(166)는 받침대(140) 및 주챔버 몸체(72)에 대하여 전기적으로 플로팅 상태를 유지한다. 밴드 쉴드(166)는 아아크의 가능성을 감소시키기 위해 둥근 단부(167)를 갖추고 있다.
도 12에 도시된 바와 같이, 프로세스 가스는 챔버 라이너(172)의 상부에 있는 축방향 갭(180)을 통해서 펌핑 채널(160) 내의 경로(190)를 따라 유동한 후 챔버 라이너(172)의 후부상의 축방향 갭(180) 및 방사상 갭(178) 내에 전도성 막(192)을 증착할 수 있다. 두 갭(178,180)은 사공간이기 때문에, 어느 하나의 갭(178,180)을 연결하기 위해 충분한 두께로 증착하지 않을 것이고, 비록 그럴지라도 갭의 어떠한 단락도 챔버 라이너(172)를 접지할 것이다. 펌핑 채널(160) 내의 다른 이질 막은, 플라즈마 필드에 충분히 영향을 주기 위해, 프로세싱 공간(56)에 충분히 근접한 주챔버 몸체(72)로부터 접지를 가져와야 할 것이다. 존재할지라도, 극소량의 가스가 방사상의 갭(178)의 바닥 단부를 향해 하방으로 진행하여, 증착이 발생한다면, 증착은 챔버 라이너(172)와 주챔버 몸체(72) 사이에 브릿지를 형성할 수도 있다. 그러나, 챔버 라이너(172)가 L 형상의 절연링(164)의 외부 레지(176) 상에 장착되기 때문에, 전도성 막은 주챔버 몸체(72)의 접지가 밴드 쉴드(166)를 향해 연장하도록 L 형상의 링(164)과 주챔버 몸체(72) 사이의 갭을 충전해야 할 것이다.
도 13에 도시된 바와 같이, 펌핑 채널(160) 내 및 부근 표면상의 절연된 세라믹 절연체(152) 상에 증착된 이질 전도성 막(120)은 플라즈마 전계를 크게 교란시키기 위해, 그리고 절연체(152)를 가로질러 챔버 리드 림(66)까지 바이어스된 샤워헤드(140)를 단락시키기 위해, 상기 바이어스된 샤워헤드(140)에 인접한 지역까지 연장된 리드 림(66)의 접지 평면의 전위를 갖는다. 그러나, 도 10에 명백히 도시된 바와 같이, L 형상의 절연체(152)는 그 절연체에 매달린 내부 스커트(203)의 하부의 외측면 상에 2개의 깊은 환상 홈(205, 207)을 가지도록 형성되고, 상기 2개의 깊은 환상 홈(205, 207)은 증착된 막(120)이 홈(205,207)을 연결하지 않도록 충분한 폭을 갖는다. 또한, 홈(205,207)은 비록 일부 증착이 필연적일지라도 홈(205,207)의 내부면 상에 연속 막을 형성하지 않도록 바닥에 사공간이 발생하는 충분한 깊이를 갖는다. 또한, 펌핑 채널(160) 내의 홈(205,207)의 개구는 일반적으로 소정의 형성된 전도성 막으로부터 아아크를 방지하기 위해 둥글게 만들어진다. 예시적인 크기로서, 홈(205,207)은 절연체(152)가 5 내지 10 mm(200 내지 400 mil)의 스커트(203) 폭을 갖는 경우에 1 내지 2mm(40 내지 80 mil)의 폭 및 2.5 내지 4.6mm(100 내지 175 mil)의 깊이를 가질 수도 있다. 이러한 구조에 있어서, 도 13에 도시된 바와 같이 비록 이질 막(120)이 절연체(152) 상에 증착될지라도, 연속적인 전도성 막을 형성하지는 않는다. 이로인해, 샤워헤드(140)는 단락되지 않으며, 이질 접지 평면이 상기 샤워헤드(140)에 인접하게 형성되지 않는다.
도 10에 도시된 바와 같이, 리드 라이너(170)는 금속으로 이루어지고, 리드 림(66)에 열적으로 및 전기적으로 연결되어 상기 리드 림(66)의 확장부를 효과적으로 형성하며, 리드 라이너(170)의 먼 위치 때문에 프로세싱 영역(56) 내의 플라즈마에 쉽게 영향을 미치지 않는다. 리드 라이너(170) 상에 증착된 소정의 금속은 상기 금속이 절연체 링(152)을 지나 연장하지 않는 한 플라즈마에 추가의 영향을 끼치지는 않을 것이다. 소정의 경우에, 리드 라이너(170)는 과도하게 코팅될 때 고정장치(174)에 의해 쉽게 제거된다.
이제 중심 위치 링에 관해 설명될 것이다.
중심 위치 링(146)은 2가지 기능을 수행한다. 중심 위치 링(146)은 받침대(140) 상에 웨이퍼(142)를 정확하게 중심 위치시키는 작업을 수행하는데, 상기 웨이퍼(142)는 도 8의 접근 통로(156)를 통해 이동하고 있는 로봇 블레이드에 의해 챔버내로 및 받침대(140) 상으로 운반된다. 이러한 기능은 주변링(146)이 그 개구내에 웨이퍼(142)를 고정하기 위해 유지링((146)으로서 작용하는 유지기능과 조합한다. 추가로, 중심 위치 링(146)은 웨이퍼(142)의 외측에 노출된 받침대(140)의 부분에 대해 열 블랭킷(thermal blanket)으로서 작용한다. 특히, 중심 위치 링(146)의 열특성은 중심 위치 링(146)이 가열된 받침대(140)에 대하여 열적으로 플로팅되고 웨이퍼(142)에 비해 비교적 차갑고 하부에 놓인 받침대(140)보다 훨씬 더 차가운 상태를 유지하도록 계획된 프로세스의 관점으로 설계되며, 이에 따라 작은 물질은 열적 CVD 프로세싱 동안 중심 위치 링(146) 상에 재료가 거의 증착되지 않는다.
중심 위치 기능 및 중심 위치 기능을 달성하기 위해 사용된 구조물이 먼저 설명될 것이다.
도 14의 정면도 및 도 15의 단면 사시도에 도시된 바와 같이, 중심 위치 링(146)은 평평한 환상 상부면(190)과 이 상부면(190)의 내부 및 아래에 있는 환상 레지(192)를 포함하고, 이 환상 레지는 웨이퍼(142)와 근접하도록 치수화되고, 단열을 제공하지만 그럼에도 불구하고 가스 유동에 장벽을 형성하기 위해 레지와 웨이퍼(142) 사이에 얇은 갭이 형성된다. 도 14에 도시된 웨이퍼(142)는 중심 위치 링(146)과 같이 실질적으로 원형이다. 그러나, 만일 웨이퍼가 한 에지상에서 큰 정렬 플랫(large alignment flat)을 가지고 형성된다면, 중심 위치 링(146)의 내부는 플랫과 일치하도록 형상화될 것이다. 도 15에 도시된 바와 같이, 스텝 벽(194)은 레지(192)로부터 중심 위치 링(146)의 평평한 상부면(190)까지 상승한다. 스텝 벽(194)의 높이는 레지(192)의 표면상에 지지된 또는 상기 표면 위에 가볍게 외팔보 형식으로 된 웨이퍼(142)의 상부면이 중심 위치 링의 상부면(190)과 동일 평면을 이루도록 웨이퍼(142)의 두께보다 다소 더 두껍거나 동일하다.
도 16의 확대 정면도에서 또한 도시된 일련의 6개의 중심 위치 보스(200)는 중심 위치 링(146)이 또한 중심이 같은 받침대(140)의 중심(201)에 대하여 중심 위치 링(146)에 약 60°간격으로 동일하게 분배된다. 중심 위치 보스(200)는 레지(192)로부터 상승하지만, 단지 스텝 벽(194)으로부터 부분적으로 돌출한다. 보스는 원통형 베이스(202) 및 상기 베이스 위쪽의 절단된 원추부(204)를 포함하며, 절단된 원추부(204)가 평평한 상부면(190) 위쪽으로 돌출하도록 분할 라인(203)은 중심 위치 링의 평평한 상부면(190)의 어느정도 아래에 위치되어 있다. 비록 중심 위치 보스가 이들 단순한 기하학적인 구조로 한정될지라도, 보스(200)의 볼록한 가장자리 및 오목한 가장자리는 소정의 아아크 또는 웨이퍼의 치핑(chipping)을 감소시키기 위해 매끄러워진다. 받침대 내에 장착될 지라도 관련 중심 위치 핀은 레이(Lei) 등의 미국 특허 제 5,516,367호에 개시되어 있다.
중심 위치 링(146)은 추후 설명될 기계적 수단에 의해 받침대(140) 상에 지지된다. 로봇 블레이드가 챔버 안으로 웨이퍼(142)를 전달할 때, 도 1 및 도 8의 받침대(140) 및 리프트 링(39)은 통로 아래로 낮춰진다. 이어서, 리프트 링(39)은 리프트 링(39)의 원추형 헤드가 웨이퍼(142)를 로봇 블레이드로부터 약간 상승시키기에 충분한 높이로 받침대(140) 밖으로 리프트 핀(38)을 상승시키기 위해 상승한다. 이어서, 로봇 블레이드는 회수되고, 받침대(140) 및 부착된 중심 위치 링(146)은 웨이퍼(142)를 지지하고 있는 리프트 핀(38)이 받침대(140)의 지지면(144)을 향해 효과적으로 삽입(retract)되도록 상승된다.
그러나, 만일 웨이퍼(142)가 받침대 중심(201)에 대해서 정확하게 중심이 맞춰지지 않는다면, 웨이퍼(142)는 받침대(140)에 접근하기 때문에 제일 먼저 하나 이상의 중심 위치 보스(200)의 원추형 상부(204) 상에서 하나 이상의 중심 위치 보스(200)와 만날 것이다. 원추형 상부(204)의 테이퍼형 표면은 웨이퍼(142)가 받침대(140)의 중심(201)을 향해 미끄러지도록 웨이퍼(142) 상에 충분한 측면 힘을 가하여, 웨이퍼(142)의 중심을 맞추게 될 것이다. 도 17의 부분 단면 사시도에 도시된 바와 같이 받침대(140)에 대하여 추가로 낮추어질 때 웨이퍼(142)는 모든 중심 위치 보스(200)의 원통형 베이스(202) 내부에서 중심이 맞춰진 지점에 위치될 것이다.
웨이퍼(142)는 가능한 한 많이 중심 위치 링(146)으로부터 단열된다. 보스(200)의 원통형 베이스(202)가 레지(192) 영역 안으로 단지 부분적으로만 돌출하기 때문에, 도 17에 도시된 바와 같이 갭(206)은 웨이퍼(142)의 베벨형 에지와 중심 위치 링의 스텝 벽(194) 사이에 형성된다. 또한, 보스(200)의 원통형 베이스(202)의 최종 방사상의 내향 위치의 장소는 웨이퍼(142)의 직경보다 약간 더 크게 치수화되므로, 얇은 갭(208)은 웨이퍼 에지와 원통형 베이스(202) 사이에 존재하도록 설계된다. 그러나, 잘못 정렬된 웨이퍼에 대한 중심 위치 작용 때문에, 웨이퍼(142)는 하나 이상의 중심 위치 보스(200)와 접촉할 수도 있다. 그럼에도 불구하고, 소정의 최종 접촉은 원통형 웨이퍼(142)가 전도성 열전달을 최소화하기 위해 원통형 보스 베이스(202)와 접촉하는 얇은 수직선이다.
CVD 프로세싱 동안, 웨이퍼(142)는 받침대(140) 상에서 중력으로 지지되지만, 중심 위치 링(146)의 레지(192)의 상부면의 높이는 상기 높이가 받침대(140)의 유효 지지면(144)의 약간 아래에 있고 웨이퍼 에지가 레지(192)의 상부면 위에서 외팔보 형태로 고정되어 그들 사이에 얇은 갭을 구비하도록 엄격히 제어된다. 웨이퍼 에지와 레지(192) 사이의 갭은 양호한 단열을 제공하기 위해 작동상의 증착 압력에서 충분히 크지만, 웨이퍼의 후부로의 증착 가스의 유동을 방지하기 위한 충분한 공기역학적 저항을 나타내기에 충분히 작고 길다. 또한, 갭은 플라즈마가 상기 갭으로 진입하여 아아크를 발생시키는 것을 방지하기에 충분히 얇다.
다음 구조물의 결과로서, 중심 위치 링(146)은 웨이퍼(142)와 단열될 뿐만 아니라, 받침대(140)와도 단열된다.
받침대(140)와 중심 위치 링(146)의 단열은 2가지 방식으로 달성된다. 중심 위치 링은 바람직하게는 알루미늄 또는 니켈 코팅된 스테인레스 강으로 구성된다. 도 18의 사시도에 잘 도시된 바와 같이, 중심 위치 링(146)은 받침대(140)의 레지(148) 내에 고정되고 정확한 높이로 상방향으로 돌출해 있는 3개의 균일하게 이격된 지지핀(210)에 의해 받침대(140)의 주변 레지(148) 상에 지지된다. 지지핀(210)은 중심 위치 링(146)의 지역에 비해 매우 작은 단면이기 때문에 받침대(140)와 중심 위치 링(146) 사이의 접촉점을 효과적으로 존재하게 한다. 지지핀(210)은 바람직하게는 세라믹 및, 스테인레스 강과 같은 낮은 열전도성을 가진 금속으로 만들어진다. 소형이며 낮은 열 전도성을 갖는 지지핀(210)은 받침대(140)와 중심 위치 링(146) 사이의 열전도를 최소화한다. 지지핀(210)은 중심 위치 링(146)의 외측 환상 베이스(214)의 바닥으로부터 연장해 있는 각각의 방사상의 슬롯(212) 안으로 헐겁게 끼워지고 상기 받침대의 레지(148) 위의 정확하게 설정된 높이에서 중심 위치 링(146)을 지지한다. 슬롯(212)의 방사상으로 연장된 형상은 중심 위치 링(146)과 받침대(140)사이의 서로 다른 열팽창을 허용한다.
중심 위치 링(146)의 바닥과 받침대 사이의 복사 및 대류 열전달은 중심 위치 링(146)의 내향 돌출 림(220)의 바닥면과 받침대(140)의 레지(148) 사이에서 이격된 절연링(216,218)의 적층에 의해 최소화된다. 절연링(216,218)은 열전달을 감소시키기 위해 바람직하게는 세라믹 또는, 스테인레스 강과 같은 낮은 열전도성의 다른 물질로 구성된다.
도 19의 부분 절취 사시도에 도시된 바와 같이, 절연링(216,218)은 도 14의 정면도에 도시된 바와 같이, 나사 또는 리벳과 같은, 중심 위치 링(146) 상에 배열된 일련의 고정장치(224)에 의해 중심 위치 링(146)의 돌출 림(220)의 바닥에 고정된다. 고정장치(224)는 갭이 쌍으로 이루어진 링(216,218) 사이에 및, 중심 위치 링(146)의 베이스(214)와 받침대(140)의 레지(148) 사이에 형성되도록 위치된다. 스크루 고정장치(224)의 원추형 헤드(225)는 매끄러운 표면을 제공하기 위하여 바닥 링(218)의 바닥에 있는 카운터 싱크(226)에서 리세스(recessed)된다. 2개의 링(216,218)은 상기 절연링(216,218) 사이의 갭(229) 뿐만 아니라 상기 절연링(216,218)과 상기 중심 위치 링(146)의 돌출부(220) 사이의 갭(229)을 형성하기 위해서 단열 스페이서(227) 또는 도 20에 도시된 스페이싱 범퍼(228)에 의해 서로 분리되고 중심 위치 링(146)의 돌출 림(220)으로부터 분리된다. 이들 다양한 갭은 중심 위치 링(146)을 받침대(140)로부터 더욱 효과적으로 열적으로 분리시키기 위하여 링(216,218)이 열적으로 플로트되게 한다. 2개의 상기 링은 65% 정도로, 그리고 3개의 링은 75% 정도로 복사 열전달을 감소시키기 위해 도시되었다.
이들 서로 다른 단열 수단은 전술된 형태의 일반적인 CVD 프로세싱 동안 기본 반응기 내에서 시험된다. 이러한 시험에서, 받침대(140)의 온도는 430℃로, 웨이퍼(142)의 온도는 360℃로 측정되었지만, 중심 위치 링(146)의 온도는 단지 290℃인 것으로 측정되었다. 360℃의 온도에서, 질화 티타늄(TiN)의 만족스러운 열증착이 웨이퍼(142) 상에서 달성되지만, 290℃에서는 동일 물질이 중심 위치 링(146) 상에 거의 또는 전혀 증착되지 않는다. 이들 온도 차이는 약 100℃에서 유지되는 샤워헤드(46)에 의해, 그리고 측부에의 열적 누설(leakage)에 의해 발생된다.
중심 위치 링(146)을 단열하는데 사용되는 많은 수단은 또한 중심 위치 링(146)을 전기적으로 절연시킨다. 결과적으로, 중심 위치 링(146)은 처리 공간(56) 내에서 플라즈마의 존재하에서 전기적으로 충전된다. 이러한 전기 충전은 중심 위치 링(146)과 웨이퍼(142) 사이에 아아크를 발생시켜 웨이퍼에 직접 손상을 초래할 수 있기 때문에 피할 필요가 있다. 어떤 다른 지점에서의 아아크는 웨이퍼 상에 쌓이고 결함을 야기할 수 있는 입자를 발생시킨다. 따라서, 중심 위치 링(146) 및 받침대(142)가 동일한 전기적 포텐셜로 유지되는 것이 바람직하다.
중심 위치 링(146)의 포텐셜을 받침대(140)의 포텐셜로 고정하기 위한 한 구조물은 도 20의 절취 사시도에 도시된 얇고, 단단하고, 가요성이 있는 접지 스트랩(230)이다. 접지 스트랩(230)은 알루미늄과 같은 전기적으로 전도성이 있고 기계적으로 소프트한 금속의 얇은 탭(232)으로 구성되고, 금속 탭은 용접(234)에 의해 중심 위치 링(146)의 베이스(214)에 영구 결합된다. 금속 탭(232)의 두께는 상기 금속 탭이 거의 열을 전도시키지 않고 중심 위치 링(146)을 기계적으로 지지하지 않도록 충분히 얇다.
받침대(140)의 주변부에는 얕고 축방향으로 연장하는 슬롯(236)이 형성되어 있으며, 상기 슬롯의 바닥에는 보다 더 깊은 슬롯 섹션(238)이 형성된다. 탭(232)은 바닥에서 Z형상의 섹션(240)으로 구부러져 있어서, 탭(232)의 상부가 얕은 슬롯(236) 안으로 끼워 맞춰지고 Z 형상의 섹션(240)이 더 깊은 슬롯 섹션(238) 안으로 끼워 맞춰진다. 탭(232)의 바닥에 형성된 홀(242)은 스크루(244)를 통과시키는데, 이 스크루(244)는 더 깊은 슬롯 섹션(238) 내에서 받침대(140) 내의 대응 홀 안으로 나선화되고 이에따라 전기 접지를 완성한다. 좁은 슬롯(236)은 받침대(140)의 표준 주변 윤곽(246)을 유지하기 위해 스크루(244)의 헤드와 탭(232)을 수용한다. 또한, 좁은 슬롯(236) 및 접지 스트랩(230)은 받침대(140)와 중심 위치 링(146) 사이의 온도 차이로 인한 어떤 차동 운동(differential motion)이 피이스 사이에 방해 없이 수용되며 전기적 연속성이 중심 위치 링(146)과 받침대(140) 사이에서 유지되도록 형성된다.
도 21은 도 7의 RF 전력 공급 형태와 비교하기 위한 본 발명에 따른 RF 전력 공급 형태를 도시한 것이다. 여기에서, 질화 티타늄(TiN)의 열 TDMAT 증착과 관련하여 사용된 플라즈마 처리과정 동안 어떠한 전력 분리도 발생하지 않는다. 대신, 받침대 전극(132)은 접지 포텐셜로 유지되고, 단지 상부 전극(134) 만은 고정된 정합 회로(252)를 구비한 RF 발전기(250)에 의해 동력을 받는다. 펌핑 채널 내에서 사용된 라이너 및 본 발명의 접지된 중심 위치 링은 플라즈마(254)를 충분히 안정화시켜 앞에서 요구된 바와 같은 전극(132,134) 사이에서 전력 분리가 더 이상 필요하지 않게 된다. 소정의 RF 바이어스가 웨이퍼를 전기적으로 충전하고 인접한 지점으로 방전하여 직접적인 손상 또는 입자를 발생시키기 때문에 어떠한 바이어스도 전극을 지지하고 있는 받침대(132)에 인가되지 않는 것이 바람직하다.
펌핑 챔버 라이너 및 본 발명의 중심 위치 링은 막, 특히 전도성 막이 상기 라이너 및 중심 위치 링 상에 필연적으로 형성될 때마다 새로운 또는 일신된 구성부재로 쉽게 대체될 수 있다. 그러나, 실재의 작동 환경에서의 시험에서 3000개의 웨이퍼 후에도, 새로운 설계는 그것들이 대체될 필요가 없는 지점에의 증착을 최소화한다는 것을 보였다. 따라서, 받침대에 인접한 설비, 도 1의 실재 챔버의 영역내의 모든 설비에 대한 비교적 단순한 몇가지 개선사항은 우수한 플라즈마 상태를 제공하면서 CVD 시스템의 고장시간(downtime)을 실질적으로 감소시킨다.
비록 본 발명이 플라즈마 처리 공정을 수반하는 질화 티타늄(TiN)의 열적 CVD에 대하여 설명되었다고 하더라도, 본 발명은 동일한 챔버가 열 금속 증착 및 또다른 플라즈마 프로세스에 이용되는 소정의 프로세스에 확실히 응용될 수 있다. 예를들어, 티타늄 층(104)은 전구체로서 염화 티타늄(TiCl4)을 사용하는 플라즈마 프로세스에 의해 증착될 수 있고 TiN 층에 대해 열 TDMAT 프로세스를 이용하여 증착될 수 있다. 또한, 상기 프로세스는 란탄 산화물(lanthanum oxide)을 포함하는 페로브스카이트(perovskites)와 같은 전도성 금속 산화물의 CVD에 유익하게 적용될 수 있다. 전도성 금속층의 증착과 플라즈마 단계의 조합은 플라즈마 프로세스에 영향을 줄 수 있는 열적 프로세스의 이질 금속층을 증착시킬 수 있는 잠재적인 문제점을 나타낸다. 본 발명은 물론 다른 형식의 금속 CVD 프로세스에 적용될 수 있고, 유전체 CVD 및 다른 플라즈마 응용에도 유용할 것이다.
본 발명이 특정 실시예에 관해 설명되었지만, 본 발명의 기술분야에서 숙련된 사람들은 본 발명의 영역을 벗어나지 않고서 형태 및 세부항목 상의 변형이 만들어질 수 있다는 것을 이해할 것이다.
도 1은 종래 기술의 CVD 프로세싱 챔버의 횡단면도.
도 2는 처리될 기판을 가로지르는 가스 유동 분배 및 펌핑 채널 내에서의 가스 유동을 도시한, 도 1의 2-2에서 취해진 횡단면도.
도 3은 도 1에 도시된 바와 같이 챔버의 상부 우측 가장자리의 개략적 확대도.
도 4는 본 발명의 장치가 제조를 위해 사용될 수 있는 집적 회로 구조물의 횡단면도.
도 5는 챔버의 상부면 상에 형성된 전도성 막을 도시한 도 3의 복사도.
도 6은 챔버내의 플라즈마에 노출된 지역 안으로 돌출해 있는 펌핑 채널 상에 증착된 막을 도시한 도 3의 복사도.
도 7은 종래의 질화 티타늄(TiN) 챔버에 대한 전력 분리 하전(energization)을 도시한 도면.
도 8은 본 발명에 따른 프로세싱 챔버의 횡단면도.
도 9는 본 발명에 따른 구조물의 전기적 포텐셜 사이의 상호관계를 도시하고 다른 특징을 강조하는 도 8의 프로세싱 챔버의 개략적인 횡단면도.
도 10은 도 8 및 도 9의 상부 우측 가장자리의 확대도.
도 11은 본 발명의 다양한 구조물의 상호관계를 도시한 도 8의 횡단면의 절취도.
도 12는 본 발명의 펌핑 채널 라이너 주변의 전도성 막의 형성물을 도시한 도 10의 복사도.
도 13은 가스 분배 면판으로부터 펌핑 채널을 통해 진공 배출 시스템까지 이동하는 가스로부터 발생하는 본 발명의 새로운 절연체 링 상에서의 전도성 막의 형성을 도시한 도 10의 복사도.
도 14는 본 발명에 따른 서셉터의 중심 위치 링에 위치된 원형 기판의 평면도.
도 15는 본 발명에 따른 중심 위치 링의 일부로서 중심 위치 보스의 부분 단면 사시도.
도 16은 도 14의 16-16과 동일한 상세도에서 취해진 위치에 기판을 구비한 중심 위치 링의 단면에 대한 상세 정면도.
도 17은 도 15와 유사하지만, 중심 위치 링 상의 보스에 의해 중심 위치된 웨이퍼를 도시한 도면.
도 18은 중심 위치 링과, 핀 지지체와, 그리고 도 14의 15-15에서 취해진 절연링을 도시한 부분 단면 사시도.
도 19는 도 14의 19-19에서 취해진 절연링을 위한 고정장치를 도시한 (기판이 존재하지 않는) 중심 위치 링의 부분 단면 사시도.
도 20은 받침대로부터 분리되어 도시된 중심 위치 링을 구비한 중심 위치 링의 접지 스트랩을 도시한 20-20에서 취해진 도 14의 부분 단면 분해 사시도.
도 21은 본 발명에 따른 프로세싱 챔버에서 플라즈마를 발생시키기 위한 RF 전력 공급장치의 개략선도.
*도면의 주요부분에 대한 부호의 설명*
38 : 리프트 핀 39 : 리프트 링
40 : 샤워 헤드 72 : 주챔버 몸체
140 : 받침대 142 : 웨이퍼
144 : 지지면 146 : 중심 위치 링
148 : 레지 150 : 쵸크 어퍼쳐
160 : 펌핑 채널

Claims (13)

  1. 기판 프로세싱 반응기로서,
    프로세싱될 기판을 지지하는 받침대를 내장하고 있는 반응챔버와,
    상기 받침대 상에 배치된 가스원과,
    상기 챔버의 측부상에서 상기 받침대 둘레에 배치된 배출구와,
    상기 받침대 상에 지지되고, 상기 기판의 주변을 둘러싸며 상기 받침대로부터 열적으로 플로팅된 주변부재를 포함하는,
    기판 프로세싱 반응기.
  2. 제 1항에 있어서, 상기 주변부재는 다수의 접촉점에 의해 상기 받침대 상에 지지되는,
    기판 프로세싱 반응기.
  3. 제 2항에 있어서, 상기 다수가 3개인,
    기판 프로세싱 반응기.
  4. 제 2항에 있어서, 상기 접촉점은 상기 받침대에 고정되고 상기 주변부재를 플로팅 상태로 지지하는 핀으로 구성되는,
    기판 프로세싱 반응기.
  5. 제 4항에 있어서, 상기 주변부재는 상기 핀을 수용하기 위해 상기 주변부재의 바닥에 형성된 방사상의 홈을 포함하는,
    기판 프로세싱 반응기.
  6. 제 1항에 있어서, 상기 주변부재는 상기 받침대 내의 주변 리세스에서 지지되는,
    기판 프로세싱 반응기.
  7. 제 1항에 있어서, 상기 주변부재와 상기 받침대 사이에 배치된 하나 이상의 절연부재를 더 포함하는,
    기판 프로세싱 반응기.
  8. 제 7항에 있어서, 상기 절연부재 사이 및, 상기 절연부재의 최상부와 상기 주변부재 사이에 형성된 갭을 갖는 2개 이상의 상기 절연부재를 포함하는,
    기판 프로세싱 반응기.
  9. 제 1항에 있어서, 상기 주변부재는 상기 받침대 상에 상기 기판을 중심 위치시키는 중심 위치 보스를 포함하는,
    기판 프로세싱 반응기.
  10. 제 1항에 있어서, 상기 주변부재와 상기 받침대 사이의 전기 접속기를 더 포함하는,
    기판 프로세싱 반응기.
  11. 제 10항에 있어서, 상기 전기 접속기는 상기 받침대 상에서 상기 주변부재를 크게 지지하지는 않는,
    기판 프로세싱 반응기.
  12. 제 11항에 있어서, 상기 전기 접속기의 바닥을 상기 받침대에 탈착가능하게 연결하는 고정장치를 더 포함하는,
    기판 프로세싱 반응기.
  13. 제 12항에 있어서, 상기 고정장치는 상기 받침대의 표준 주변 윤곽을 유지하도록 상기 받침대 내의 축방향 홈내에 배치되는,
    기판 프로세싱 반응기.
KR1019970032160A 1996-07-12 1997-07-11 화학 증착 챔버내의 가스 유동 경로에서 받침대 주변에 있는 구성부재 KR100522903B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/680,724 US5846332A (en) 1996-07-12 1996-07-12 Thermally floating pedestal collar in a chemical vapor deposition chamber
US08/680,724 1996-07-12

Publications (2)

Publication Number Publication Date
KR980009511A KR980009511A (ko) 1998-04-30
KR100522903B1 true KR100522903B1 (ko) 2005-12-21

Family

ID=24732256

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970032160A KR100522903B1 (ko) 1996-07-12 1997-07-11 화학 증착 챔버내의 가스 유동 경로에서 받침대 주변에 있는 구성부재

Country Status (6)

Country Link
US (3) US5846332A (ko)
EP (2) EP0818558B1 (ko)
JP (1) JPH1070088A (ko)
KR (1) KR100522903B1 (ko)
DE (1) DE69710961T2 (ko)
TW (1) TW359853B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11302517B2 (en) 2017-07-11 2022-04-12 Samsung Display Co., Ltd. Chemical vapor deposition apparatus and method of manufacturing display apparatus using the same

Families Citing this family (636)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6092486A (en) * 1996-05-27 2000-07-25 Sumimoto Metal Indsutries, Ltd. Plasma processing apparatus and plasma processing method
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6189482B1 (en) * 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
JP3077623B2 (ja) * 1997-04-02 2000-08-14 日本電気株式会社 プラズマ化学気相成長装置
JP3801730B2 (ja) * 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
KR100434790B1 (ko) * 1997-05-20 2004-06-07 동경 엘렉트론 주식회사 처리 장치
US6176929B1 (en) * 1997-07-22 2001-01-23 Ebara Corporation Thin-film deposition apparatus
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6063441A (en) * 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6301270B1 (en) 1998-03-02 2001-10-09 Compaq Computer Corporation Right to left matching of device address numbers with provided integrated services digital network destination numbers
US6117244A (en) * 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6192827B1 (en) 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
US6096135A (en) * 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6228208B1 (en) * 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6406590B1 (en) * 1998-09-08 2002-06-18 Sharp Kaubushiki Kaisha Method and apparatus for surface treatment using plasma
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6402847B1 (en) 1998-11-27 2002-06-11 Kabushiki Kaisha Toshiba Dry processing apparatus and dry processing method
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6177305B1 (en) * 1998-12-17 2001-01-23 Lsi Logic Corporation Fabrication of metal-insulator-metal capacitive structures
NL1011017C2 (nl) * 1999-01-13 2000-07-31 Asm Int Inrichting voor het positioneren van een wafer.
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6451181B1 (en) 1999-03-02 2002-09-17 Motorola, Inc. Method of forming a semiconductor device barrier layer
JP3109508B2 (ja) 1999-03-24 2000-11-20 日本電気株式会社 薄膜形成装置
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6466881B1 (en) * 1999-04-22 2002-10-15 Applied Materials Inc. Method for monitoring the quality of a protective coating in a reactor chamber
US6375748B1 (en) * 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR100583134B1 (ko) * 1999-11-16 2006-05-24 동경 엘렉트론 주식회사 기판의 처리장치 및 처리방법
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP4419237B2 (ja) * 1999-12-22 2010-02-24 東京エレクトロン株式会社 成膜装置及び被処理体の処理方法
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6221164B1 (en) 2000-01-25 2001-04-24 Advanced Micro Devices, Inc. Method of in-situ cleaning for LPCVD teos pump
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6485605B1 (en) * 2000-04-20 2002-11-26 Taiwan Semiconductor Manufacturing Co., Ltd High temperature process chamber having improved heat endurance
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6779481B2 (en) * 2000-04-27 2004-08-24 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6802906B2 (en) * 2000-07-21 2004-10-12 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6562715B1 (en) 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
TW511158B (en) * 2000-08-11 2002-11-21 Alps Electric Co Ltd Plasma processing apparatus and system, performance validation system thereof
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US20020144783A1 (en) * 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
KR100765539B1 (ko) * 2001-05-18 2007-10-10 엘지.필립스 엘시디 주식회사 화학기상 증착장비
KR20020095324A (ko) * 2001-06-14 2002-12-26 삼성전자 주식회사 고주파 파워를 이용하는 반도체장치 제조설비
JP4076744B2 (ja) * 2001-07-31 2008-04-16 ユニ・チャーム株式会社 パンツ型の使い捨て着用物品
KR100721504B1 (ko) * 2001-08-02 2007-05-23 에이에스엠지니텍코리아 주식회사 플라즈마 강화 원자층 증착 장치 및 이를 이용한 박막형성방법
WO2003021644A1 (en) * 2001-08-28 2003-03-13 Hyundai Semiconductor America, Inc. Chamber shields for a plasma chamber
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
KR100443908B1 (ko) * 2001-10-25 2004-08-09 삼성전자주식회사 플라즈마 화학기상증착장치 및 이를 이용한나이트라이드막 형성방법
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20030087488A1 (en) * 2001-11-07 2003-05-08 Tokyo Electron Limited Inductively coupled plasma source for improved process uniformity
DE60238272D1 (de) * 2001-12-03 2010-12-23 Ulvac Inc Mischer und vorrichtung und verfahren zur herstellung von dünnfilm
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
AU2003213722A1 (en) 2002-03-21 2003-10-08 David J. Payne Method and system for storing and retrieving data using hash-accessed multiple data stores
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
JP2003307458A (ja) * 2002-04-15 2003-10-31 Akifumi Ito 基材の温度測定方法および温度測定装置
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6960263B2 (en) * 2002-04-25 2005-11-01 Applied Materials, Inc. Shadow frame with cross beam for semiconductor equipment
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
JP2005536042A (ja) * 2002-08-08 2005-11-24 トリコン テクノロジーズ リミティド シャワーヘッドの改良
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7381293B2 (en) * 2003-01-09 2008-06-03 Taiwan Semiconductor Manufacturing Co., Ltd. Convex insert ring for etch chamber
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US20040256215A1 (en) * 2003-04-14 2004-12-23 David Stebbins Sputtering chamber liner
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6911093B2 (en) * 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
US7001491B2 (en) * 2003-06-26 2006-02-21 Tokyo Electron Limited Vacuum-processing chamber-shield and multi-chamber pumping method
US7608300B2 (en) * 2003-08-27 2009-10-27 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
US20050079729A1 (en) * 2003-10-08 2005-04-14 Woo-Sung Jang High density plasma oxide film deposition apparatus having a guide ring and a semiconductor device manufacturing method using the same
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
DE20319104U1 (de) * 2003-12-09 2004-04-08 Infineon Technologies Ag Anordnung zur Wärmebehandlung von Siliziumscheiben in einer Prozesskammer
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7501161B2 (en) * 2004-06-01 2009-03-10 Applied Materials, Inc. Methods and apparatus for reducing arcing during plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100672828B1 (ko) 2005-06-29 2007-01-22 삼성전자주식회사 챔버 인서트 및 이를 포함하는 기판 가공 장치
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US8038837B2 (en) * 2005-09-02 2011-10-18 Tokyo Electron Limited Ring-shaped component for use in a plasma processing, plasma processing apparatus and outer ring-shaped member
US8317929B2 (en) * 2005-09-16 2012-11-27 Asml Netherlands B.V. Lithographic apparatus comprising an electrical discharge generator and method for cleaning an element of a lithographic apparatus
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
DE102005056323A1 (de) * 2005-11-25 2007-05-31 Aixtron Ag Prozesskammermodul zum gleichzeitigen Abscheiden von Schichten auf mehreren Substraten
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
US8381677B2 (en) * 2006-12-20 2013-02-26 Applied Materials, Inc. Prevention of film deposition on PECVD process chamber wall
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20080317973A1 (en) 2007-06-22 2008-12-25 White John M Diffuser support
US9292850B2 (en) 2007-09-10 2016-03-22 Visa U.S.A. Inc. Host capture
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
WO2010008827A2 (en) * 2008-06-24 2010-01-21 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100108263A1 (en) * 2008-10-30 2010-05-06 Applied Materials, Inc. Extended chamber liner for improved mean time between cleanings of process chambers
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20100119734A1 (en) * 2008-11-07 2010-05-13 Applied Materials, Inc. Laminar flow in a precursor source canister
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CN102308675B (zh) * 2009-02-04 2016-01-13 应用材料公司 用于等离子体工艺的接地回流路径
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5359698B2 (ja) * 2009-08-31 2013-12-04 豊田合成株式会社 化合物半導体の製造装置、化合物半導体の製造方法及び化合物半導体
JP5698950B2 (ja) 2009-10-23 2015-04-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
TWI417984B (zh) 2009-12-10 2013-12-01 Orbotech Lt Solar Llc 自動排序之多方向性直線型處理裝置
JP2013526778A (ja) * 2010-05-12 2013-06-24 アプライド マテリアルズ インコーポレイテッド 限定プロセス容積pecvdチャンバ
JP5584517B2 (ja) * 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
CN102918180B (zh) * 2010-05-21 2014-12-17 应用材料公司 大面积电极上的紧密安装的陶瓷绝缘体
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9171702B2 (en) * 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US8895116B2 (en) 2010-11-04 2014-11-25 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of crystalline semiconductor film and manufacturing method of semiconductor device
US8815635B2 (en) 2010-11-05 2014-08-26 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of photoelectric conversion device
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US10233541B2 (en) 2012-06-29 2019-03-19 Applied Materials, Inc. Deposition of films containing alkaline earth metals
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5343162B1 (ja) * 2012-10-26 2013-11-13 エピクルー株式会社 エピタキシャル成長装置
TWI480417B (zh) * 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
TWI826650B (zh) 2012-11-26 2023-12-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN103132016B (zh) * 2013-02-22 2015-05-13 京东方科技集团股份有限公司 一种膜边调整器
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9916994B2 (en) * 2013-03-06 2018-03-13 Applied Materials, Inc. Substrate support with multi-piece sealing surface
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
CN206022311U (zh) 2013-11-06 2017-03-15 应用材料公司 用于等离子体处理腔室的处理配件
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
CN104637766B (zh) * 2013-11-14 2017-02-08 中微半导体设备(上海)有限公司 反应腔结构及半导体等离子处理系统
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US10283344B2 (en) 2014-07-11 2019-05-07 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102438139B1 (ko) 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9650713B2 (en) 2015-03-12 2017-05-16 Kennamtetal Inc. PVD-coated cutting tools and method for making the same
WO2016171815A1 (en) 2015-04-24 2016-10-27 Applied Materials, Inc. Process kit including flow isolator ring
WO2016178754A1 (en) * 2015-05-04 2016-11-10 Applied Materials, Inc. Process kit for a high throughput processing chamber
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
GB201513339D0 (en) * 2015-07-29 2015-09-09 Pilkington Group Ltd Coating apparatus
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102145950B1 (ko) * 2015-10-04 2020-08-19 어플라이드 머티어리얼스, 인코포레이티드 기판 지지체 및 배플 장치
CN108140546B (zh) 2015-10-04 2022-04-12 应用材料公司 用于高纵横比特征的干燥工艺
CN116206947A (zh) 2015-10-04 2023-06-02 应用材料公司 缩减空间的处理腔室
KR102314667B1 (ko) 2015-10-04 2021-10-20 어플라이드 머티어리얼스, 인코포레이티드 작은 열 질량의 가압 챔버
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN109072427B (zh) * 2016-03-25 2020-10-13 应用材料公司 用于高温处理的腔室衬垫
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10559451B2 (en) 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10312076B2 (en) 2017-03-10 2019-06-04 Applied Materials, Inc. Application of bottom purge to increase clean efficiency
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
USD838681S1 (en) * 2017-04-28 2019-01-22 Applied Materials, Inc. Plasma chamber liner
USD837754S1 (en) * 2017-04-28 2019-01-08 Applied Materials, Inc. Plasma chamber liner
USD842259S1 (en) * 2017-04-28 2019-03-05 Applied Materials, Inc. Plasma chamber liner
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
KR102306567B1 (ko) * 2017-05-18 2021-09-30 어플라이드 머티어리얼스, 인코포레이티드 개선된 열 균일성을 갖는 열 챔버
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20190088512A1 (en) * 2017-09-18 2019-03-21 Mattson Technology, Inc. Cooled Focus Ring for Plasma Processing Apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11935732B2 (en) * 2018-01-29 2024-03-19 Applied Materials, Inc. Process kit geometry for particle reduction in PVD processes
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20200140390A (ko) * 2018-05-04 2020-12-15 어플라이드 머티어리얼스, 인코포레이티드 중심-에지 압력 변화를 제어하기 위한 압력 스큐 시스템
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
JP7018823B2 (ja) * 2018-05-29 2022-02-14 東京エレクトロン株式会社 モデル生成装置、モデル生成プログラムおよびモデル生成方法
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN110660698B (zh) * 2018-06-28 2022-04-22 北京北方华创微电子装备有限公司 压环组件、工艺腔室和半导体处理设备
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7451490B2 (ja) * 2018-07-30 2024-03-18 ノードソン コーポレーション プラズマを用いたワーク処理用のシステム
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
WO2020106408A1 (en) * 2018-11-21 2020-05-28 Applied Materials, Inc. Device and method for tuning plasma distribution using phase control
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11078568B2 (en) 2019-01-08 2021-08-03 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210104696A (ko) * 2019-01-15 2021-08-25 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 페디스털
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR20220027973A (ko) * 2019-07-04 2022-03-08 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 아이솔레이터 장치 및 방법들
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202133365A (zh) 2019-09-22 2021-09-01 美商應用材料股份有限公司 使用具有可調式泵的處理腔室蓋的ald循環時間縮減
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
CN111364022B (zh) * 2020-03-10 2023-02-10 北京北方华创微电子装备有限公司 反应腔室
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11749543B2 (en) * 2020-07-06 2023-09-05 Applied Materials, Inc. Chamber matching and calibration
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11401608B2 (en) * 2020-10-20 2022-08-02 Sky Tech Inc. Atomic layer deposition equipment and process method
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11643725B2 (en) * 2021-03-26 2023-05-09 Applied Materials, Inc. Hardware to prevent bottom purge incursion in application volume and process gas diffusion below heater
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113337810B (zh) * 2021-05-26 2022-04-22 北京北方华创微电子装备有限公司 内衬装置及半导体加工设备
US20230065818A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor apparatus for deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230097346A1 (en) * 2021-09-30 2023-03-30 Applied Materials, Inc. Flow guide apparatuses for flow uniformity control in process chambers

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0714998A2 (en) * 1994-11-30 1996-06-05 Applied Materials, Inc. CVD processing chamber

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US556476A (en) * 1896-03-17 David richardson
US4891087A (en) * 1984-10-22 1990-01-02 Texas Instruments Incorporated Isolation substrate ring for plasma reactor
JPH0830273B2 (ja) * 1986-07-10 1996-03-27 株式会社東芝 薄膜形成方法及び装置
US4996942A (en) * 1987-03-31 1991-03-05 Epsilon Technology, Inc. Rotatable substrate supporting susceptor with temperature sensors
JP3090339B2 (ja) * 1990-03-19 2000-09-18 株式会社東芝 気相成長装置および方法
DE69126724T2 (de) * 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5192589A (en) 1991-09-05 1993-03-09 Micron Technology, Inc. Low-pressure chemical vapor deposition process for depositing thin titanium nitride films having low and stable resistivity
JP2888026B2 (ja) * 1992-04-30 1999-05-10 松下電器産業株式会社 プラズマcvd装置
JPH0697080A (ja) * 1992-09-10 1994-04-08 Mitsubishi Electric Corp 化学気相成長装置用反応室および該反応室を用いた化学気相成長装置
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
JPH06188108A (ja) * 1992-12-21 1994-07-08 Canon Inc 薄膜抵抗器の製造方法、成膜装置用防着板及び成膜装置
US5343938A (en) * 1992-12-24 1994-09-06 Vlsi Technology, Inc. Method and apparatus for thermally insulating a wafer support
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5352294A (en) * 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5246881A (en) * 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5399379A (en) 1993-04-14 1995-03-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal titanium nitride films of low bulk resistivity
US5366002A (en) * 1993-05-05 1994-11-22 Applied Materials, Inc. Apparatus and method to ensure heat transfer to and from an entire substrate during semiconductor processing
US5975912A (en) * 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US5480684A (en) 1994-09-01 1996-01-02 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organometallic precursor compounds
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5661115A (en) * 1994-11-08 1997-08-26 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0714998A2 (en) * 1994-11-30 1996-06-05 Applied Materials, Inc. CVD processing chamber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11302517B2 (en) 2017-07-11 2022-04-12 Samsung Display Co., Ltd. Chemical vapor deposition apparatus and method of manufacturing display apparatus using the same
US11842883B2 (en) 2017-07-11 2023-12-12 Samsung Display Co., Ltd. Chemical vapor deposition apparatus and method of manufacturing display apparatus using the same

Also Published As

Publication number Publication date
EP1172458A2 (en) 2002-01-16
US6270859B2 (en) 2001-08-07
EP0818558B1 (en) 2002-03-13
TW359853B (en) 1999-06-01
DE69710961D1 (de) 2002-04-18
DE69710961T2 (de) 2002-10-31
US20010004478A1 (en) 2001-06-21
EP0818558A1 (en) 1998-01-14
US5964947A (en) 1999-10-12
JPH1070088A (ja) 1998-03-10
EP1172458A3 (en) 2002-04-24
KR980009511A (ko) 1998-04-30
US5846332A (en) 1998-12-08

Similar Documents

Publication Publication Date Title
KR100522903B1 (ko) 화학 증착 챔버내의 가스 유동 경로에서 받침대 주변에 있는 구성부재
US6063441A (en) Processing chamber and method for confining plasma
US6364949B1 (en) 300 mm CVD chamber design for metal-organic thin film deposition
US5748434A (en) Shield for an electrostatic chuck
JP4808330B2 (ja) プロセスガス配給装置及び処理チャンバ
KR100268158B1 (ko) 유도 결합된hdp-cvd반응기
US11049755B2 (en) Semiconductor substrate supports with embedded RF shield
US6189483B1 (en) Process kit
US5994662A (en) Unique baffle to deflect remote plasma clean gases
US6286451B1 (en) Dome: shape and temperature controlled surfaces
JP4801250B2 (ja) 堆積チャンバ内の基板上に膜を堆積する方法
US6109206A (en) Remote plasma source for chamber cleaning
US6083344A (en) Multi-zone RF inductively coupled source configuration
US6077357A (en) Orientless wafer processing on an electrostatic chuck
US6106625A (en) Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) Reactor optimized for chemical vapor deposition of titanium
US5983906A (en) Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US6051286A (en) High temperature, high deposition rate process and apparatus for depositing titanium layers
KR20010080441A (ko) Cvd 프로세싱 챔버에 대한 가스 분배 시스템
US11488804B2 (en) Shower head assembly and plasma processing apparatus having the same
JP2023530563A (ja) マルチゾーン半導体基板支持体

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120927

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140929

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160929

Year of fee payment: 12

EXPY Expiration of term