US6070551A
(en)
*
|
1996-05-13 |
2000-06-06 |
Applied Materials, Inc. |
Deposition chamber and method for depositing low dielectric constant films
|
US6170428B1
(en)
*
|
1996-07-15 |
2001-01-09 |
Applied Materials, Inc. |
Symmetric tunable inductively coupled HDP-CVD reactor
|
US6184158B1
(en)
*
|
1996-12-23 |
2001-02-06 |
Lam Research Corporation |
Inductively coupled plasma CVD
|
US6749717B1
(en)
|
1997-02-04 |
2004-06-15 |
Micron Technology, Inc. |
Device for in-situ cleaning of an inductively-coupled plasma chambers
|
US6286451B1
(en)
*
|
1997-05-29 |
2001-09-11 |
Applied Materials, Inc. |
Dome: shape and temperature controlled surfaces
|
US6797188B1
(en)
*
|
1997-11-12 |
2004-09-28 |
Meihua Shen |
Self-cleaning process for etching silicon-containing material
|
EP0926716B1
(en)
*
|
1997-12-17 |
2003-07-16 |
Tokyo Electron Limited |
Method of processing a semiconductor substrate
|
US6194038B1
(en)
*
|
1998-03-20 |
2001-02-27 |
Applied Materials, Inc. |
Method for deposition of a conformal layer on a substrate
|
US6200911B1
(en)
|
1998-04-21 |
2001-03-13 |
Applied Materials, Inc. |
Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
|
JP2002512440A
(ja)
*
|
1998-04-21 |
2002-04-23 |
アプライド マテリアルズ インコーポレイテッド |
差動プラズマパワーを使用して高アスペクト比ギャップのプロファイルを修正する方法および装置
|
KR100296137B1
(ko)
*
|
1998-06-16 |
2001-08-07 |
박종섭 |
보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
|
US6230651B1
(en)
*
|
1998-12-30 |
2001-05-15 |
Lam Research Corporation |
Gas injection system for plasma processing
|
US6374831B1
(en)
*
|
1999-02-04 |
2002-04-23 |
Applied Materials, Inc. |
Accelerated plasma clean
|
US6395095B1
(en)
|
1999-06-15 |
2002-05-28 |
Tokyo Electron Limited |
Process apparatus and method for improved plasma processing of a substrate
|
US6431112B1
(en)
|
1999-06-15 |
2002-08-13 |
Tokyo Electron Limited |
Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
|
US6367413B1
(en)
|
1999-06-15 |
2002-04-09 |
Tokyo Electron Limited |
Apparatus for monitoring substrate biasing during plasma processing of a substrate
|
JP4776130B2
(ja)
*
|
1999-11-15 |
2011-09-21 |
ラム リサーチ コーポレーション |
プラズマ処理装置、半導体製造装置、およびこれに用いる加熱・冷却ブロック
|
US6302966B1
(en)
|
1999-11-15 |
2001-10-16 |
Lam Research Corporation |
Temperature control system for plasma processing apparatus
|
TW518639B
(en)
|
1999-11-18 |
2003-01-21 |
Tokyo Electron Ltd |
Heat treatment device, cooling treatment device and cooling treatment method
|
TW514996B
(en)
*
|
1999-12-10 |
2002-12-21 |
Tokyo Electron Ltd |
Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
|
US6372291B1
(en)
*
|
1999-12-23 |
2002-04-16 |
Applied Materials, Inc. |
In situ deposition and integration of silicon nitride in a high density plasma reactor
|
KR100446875B1
(ko)
|
2000-01-12 |
2004-09-04 |
동경 엘렉트론 주식회사 |
진공 처리 장치 및 진공 처리 방법
|
KR100704591B1
(ko)
*
|
2000-03-21 |
2007-04-09 |
주성엔지니어링(주) |
Cvd 장치 및 그 내부 세정방법
|
US6362110B1
(en)
*
|
2000-03-30 |
2002-03-26 |
Lam Research Corporation |
Enhanced resist strip in a dielectric etcher using downstream plasma
|
DE10024699A1
(de)
*
|
2000-05-18 |
2001-11-29 |
Bosch Gmbh Robert |
Plasmaätzanlage
|
US6559052B2
(en)
*
|
2000-07-07 |
2003-05-06 |
Applied Materials, Inc. |
Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
|
US6335288B1
(en)
|
2000-08-24 |
2002-01-01 |
Applied Materials, Inc. |
Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
|
US6843258B2
(en)
|
2000-12-19 |
2005-01-18 |
Applied Materials, Inc. |
On-site cleaning gas generation for process chamber cleaning
|
WO2002061179A1
(en)
*
|
2001-01-19 |
2002-08-08 |
Tokyo Electron Limited |
Method and apparatus for gas injection system with minimum particulate contamination
|
US7510664B2
(en)
|
2001-01-30 |
2009-03-31 |
Rapt Industries, Inc. |
Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
|
US7591957B2
(en)
*
|
2001-01-30 |
2009-09-22 |
Rapt Industries, Inc. |
Method for atmospheric pressure reactive atom plasma processing for surface modification
|
US20020144706A1
(en)
*
|
2001-04-10 |
2002-10-10 |
Davis Matthew F. |
Remote plasma cleaning of pumpstack components of a reactor chamber
|
KR100397047B1
(ko)
*
|
2001-05-08 |
2003-09-02 |
삼성전자주식회사 |
정전척의 냉각장치 및 방법
|
US6596653B2
(en)
*
|
2001-05-11 |
2003-07-22 |
Applied Materials, Inc. |
Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
|
US6740601B2
(en)
*
|
2001-05-11 |
2004-05-25 |
Applied Materials Inc. |
HDP-CVD deposition process for filling high aspect ratio gaps
|
US7159597B2
(en)
*
|
2001-06-01 |
2007-01-09 |
Applied Materials, Inc. |
Multistep remote plasma clean process
|
US6577113B2
(en)
|
2001-06-06 |
2003-06-10 |
Tokyo Electron Limited |
Apparatus and method for measuring substrate biasing during plasma processing of a substrate
|
US6936842B2
(en)
*
|
2001-06-27 |
2005-08-30 |
Applied Materials, Inc. |
Method and apparatus for process monitoring
|
US6868856B2
(en)
*
|
2001-07-13 |
2005-03-22 |
Applied Materials, Inc. |
Enhanced remote plasma cleaning
|
US7816188B2
(en)
*
|
2001-07-30 |
2010-10-19 |
Sandisk 3D Llc |
Process for fabricating a dielectric film using plasma oxidation
|
US6676760B2
(en)
|
2001-08-16 |
2004-01-13 |
Appiled Materials, Inc. |
Process chamber having multiple gas distributors and method
|
DE10141142B4
(de)
*
|
2001-08-24 |
2004-11-11 |
Roth & Rau Ag |
Einrichtung zur reaktiven Plasmabehandlung von Substraten und Verfahren zur Anwendung
|
US20030070620A1
(en)
|
2001-10-15 |
2003-04-17 |
Cooperberg David J. |
Tunable multi-zone gas injection system
|
US6660177B2
(en)
|
2001-11-07 |
2003-12-09 |
Rapt Industries Inc. |
Apparatus and method for reactive atom plasma processing for material deposition
|
US6869880B2
(en)
*
|
2002-01-24 |
2005-03-22 |
Applied Materials, Inc. |
In situ application of etch back for improved deposition into high-aspect-ratio features
|
US6843858B2
(en)
*
|
2002-04-02 |
2005-01-18 |
Applied Materials, Inc. |
Method of cleaning a semiconductor processing chamber
|
DE10216786C5
(de)
*
|
2002-04-15 |
2009-10-15 |
Ers Electronic Gmbh |
Verfahren und Vorrichtung zur Konditionierung von Halbleiterwafern und/oder Hybriden
|
US20080011332A1
(en)
*
|
2002-04-26 |
2008-01-17 |
Accretech Usa, Inc. |
Method and apparatus for cleaning a wafer substrate
|
US20080017316A1
(en)
*
|
2002-04-26 |
2008-01-24 |
Accretech Usa, Inc. |
Clean ignition system for wafer substrate processing
|
US20080190558A1
(en)
*
|
2002-04-26 |
2008-08-14 |
Accretech Usa, Inc. |
Wafer processing apparatus and method
|
US6908862B2
(en)
*
|
2002-05-03 |
2005-06-21 |
Applied Materials, Inc. |
HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
|
US20040040664A1
(en)
*
|
2002-06-03 |
2004-03-04 |
Yang Jang Gyoo |
Cathode pedestal for a plasma etch reactor
|
US20030224619A1
(en)
*
|
2002-06-04 |
2003-12-04 |
Yoshi Ono |
Method for low temperature oxidation of silicon
|
TWI235433B
(en)
*
|
2002-07-17 |
2005-07-01 |
Tokyo Electron Ltd |
Oxide film forming method, oxide film forming apparatus and electronic device material
|
US20040231798A1
(en)
*
|
2002-09-13 |
2004-11-25 |
Applied Materials, Inc. |
Gas delivery system for semiconductor processing
|
US7628897B2
(en)
*
|
2002-10-23 |
2009-12-08 |
Applied Materials, Inc. |
Reactive ion etching for semiconductor device feature topography modification
|
US6802944B2
(en)
*
|
2002-10-23 |
2004-10-12 |
Applied Materials, Inc. |
High density plasma CVD process for gapfill into high aspect ratio features
|
US6808748B2
(en)
*
|
2003-01-23 |
2004-10-26 |
Applied Materials, Inc. |
Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
|
US7604708B2
(en)
*
|
2003-02-14 |
2009-10-20 |
Applied Materials, Inc. |
Cleaning of native oxide with hydrogen-containing radicals
|
US7371992B2
(en)
|
2003-03-07 |
2008-05-13 |
Rapt Industries, Inc. |
Method for non-contact cleaning of a surface
|
US7037376B2
(en)
*
|
2003-04-11 |
2006-05-02 |
Applied Materials Inc. |
Backflush chamber clean
|
JP4394073B2
(ja)
*
|
2003-05-02 |
2010-01-06 |
東京エレクトロン株式会社 |
処理ガス導入機構およびプラズマ処理装置
|
US7081414B2
(en)
*
|
2003-05-23 |
2006-07-25 |
Applied Materials, Inc. |
Deposition-selective etch-deposition process for dielectric film gapfill
|
US6958112B2
(en)
*
|
2003-05-27 |
2005-10-25 |
Applied Materials, Inc. |
Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
|
US7205240B2
(en)
|
2003-06-04 |
2007-04-17 |
Applied Materials, Inc. |
HDP-CVD multistep gapfill process
|
US7993460B2
(en)
*
|
2003-06-30 |
2011-08-09 |
Lam Research Corporation |
Substrate support having dynamic temperature control
|
KR100526928B1
(ko)
*
|
2003-07-16 |
2005-11-09 |
삼성전자주식회사 |
식각장치
|
JP4532948B2
(ja)
*
|
2004-03-19 |
2010-08-25 |
積水化学工業株式会社 |
プラズマ処理方法
|
WO2005015963A1
(ja)
*
|
2003-08-08 |
2005-02-17 |
Sekisui Chemical Co., Ltd. |
プラズマ処理方法及び装置
|
JP2005063760A
(ja)
*
|
2003-08-08 |
2005-03-10 |
Sekisui Chem Co Ltd |
プラズマ処理方法および処理装置
|
US7297892B2
(en)
*
|
2003-08-14 |
2007-11-20 |
Rapt Industries, Inc. |
Systems and methods for laser-assisted plasma processing
|
US7304263B2
(en)
*
|
2003-08-14 |
2007-12-04 |
Rapt Industries, Inc. |
Systems and methods utilizing an aperture with a reactive atom plasma torch
|
US6829056B1
(en)
|
2003-08-21 |
2004-12-07 |
Michael Barnes |
Monitoring dimensions of features at different locations in the processing of substrates
|
US6903031B2
(en)
*
|
2003-09-03 |
2005-06-07 |
Applied Materials, Inc. |
In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
|
US20050079729A1
(en)
*
|
2003-10-08 |
2005-04-14 |
Woo-Sung Jang |
High density plasma oxide film deposition apparatus having a guide ring and a semiconductor device manufacturing method using the same
|
CN100466162C
(zh)
*
|
2003-12-15 |
2009-03-04 |
应用材料有限公司 |
用于改进cvd膜性能的边流面板
|
US7431772B2
(en)
*
|
2004-03-09 |
2008-10-07 |
Applied Materials, Inc. |
Gas distributor having directed gas flow and cleaning method
|
US20050205211A1
(en)
*
|
2004-03-22 |
2005-09-22 |
Vikram Singh |
Plasma immersion ion implantion apparatus and method
|
US20050221618A1
(en)
*
|
2004-03-31 |
2005-10-06 |
Amrhein Frederick J |
System for controlling a plenum output flow geometry
|
US8083853B2
(en)
*
|
2004-05-12 |
2011-12-27 |
Applied Materials, Inc. |
Plasma uniformity control by gas diffuser hole design
|
WO2005108182A2
(en)
*
|
2004-04-30 |
2005-11-17 |
Pdp Compass, Llc |
Foldable stroller
|
US8328939B2
(en)
*
|
2004-05-12 |
2012-12-11 |
Applied Materials, Inc. |
Diffuser plate with slit valve compensation
|
US8074599B2
(en)
*
|
2004-05-12 |
2011-12-13 |
Applied Materials, Inc. |
Plasma uniformity control by gas diffuser curvature
|
US20050260356A1
(en)
*
|
2004-05-18 |
2005-11-24 |
Applied Materials, Inc. |
Microcontamination abatement in semiconductor processing
|
US7229931B2
(en)
*
|
2004-06-16 |
2007-06-12 |
Applied Materials, Inc. |
Oxygen plasma treatment for enhanced HDP-CVD gapfill
|
US7183227B1
(en)
*
|
2004-07-01 |
2007-02-27 |
Applied Materials, Inc. |
Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
|
US20060021633A1
(en)
*
|
2004-07-27 |
2006-02-02 |
Applied Materials, Inc. |
Closed loop clean gas control
|
US20060024451A1
(en)
*
|
2004-07-30 |
2006-02-02 |
Applied Materials Inc. |
Enhanced magnetic shielding for plasma-based semiconductor processing tool
|
US7087536B2
(en)
*
|
2004-09-01 |
2006-08-08 |
Applied Materials |
Silicon oxide gapfill deposition using liquid precursors
|
US20060049036A1
(en)
*
|
2004-09-09 |
2006-03-09 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method and apparatus for real-time control and monitor of deposition processes
|
US7429410B2
(en)
|
2004-09-20 |
2008-09-30 |
Applied Materials, Inc. |
Diffuser gravity support
|
KR100589046B1
(ko)
*
|
2004-09-23 |
2006-06-12 |
삼성전자주식회사 |
박막 형성 방법
|
US20060075967A1
(en)
*
|
2004-10-12 |
2006-04-13 |
Applied Materials, Inc. |
Magnetic-field concentration in inductively coupled plasma reactors
|
US20060105106A1
(en)
*
|
2004-11-16 |
2006-05-18 |
Applied Materials, Inc. |
Tensile and compressive stressed materials for semiconductors
|
KR20060059305A
(ko)
*
|
2004-11-26 |
2006-06-01 |
삼성전자주식회사 |
반도체 공정 장비
|
US7510624B2
(en)
*
|
2004-12-17 |
2009-03-31 |
Applied Materials, Inc. |
Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
|
US20060154494A1
(en)
|
2005-01-08 |
2006-07-13 |
Applied Materials, Inc., A Delaware Corporation |
High-throughput HDP-CVD processes for advanced gapfill applications
|
US20060162661A1
(en)
*
|
2005-01-22 |
2006-07-27 |
Applied Materials, Inc. |
Mixing energized and non-energized gases for silicon nitride deposition
|
US20060185591A1
(en)
*
|
2005-02-18 |
2006-08-24 |
General Electric Company |
High temperature chemical vapor deposition apparatus
|
US20060260645A1
(en)
*
|
2005-02-22 |
2006-11-23 |
Appleyard Nicholas J |
Methods and apparatus for processing wafers
|
US7722719B2
(en)
*
|
2005-03-07 |
2010-05-25 |
Applied Materials, Inc. |
Gas baffle and distributor for semiconductor processing chamber
|
US20060238954A1
(en)
*
|
2005-04-21 |
2006-10-26 |
Applied Materials, Inc., A Delaware Corporation |
Electrostatic chuck for track thermal plates
|
US7247582B2
(en)
*
|
2005-05-23 |
2007-07-24 |
Applied Materials, Inc. |
Deposition of tensile and compressive stressed materials
|
US7329586B2
(en)
*
|
2005-06-24 |
2008-02-12 |
Applied Materials, Inc. |
Gapfill using deposition-etch sequence
|
US7811411B2
(en)
*
|
2005-08-09 |
2010-10-12 |
Applied Materials, Inc. |
Thermal management of inductively coupled plasma reactors
|
US7651587B2
(en)
*
|
2005-08-11 |
2010-01-26 |
Applied Materials, Inc. |
Two-piece dome with separate RF coils for inductively coupled plasma reactors
|
US7524750B2
(en)
|
2006-04-17 |
2009-04-28 |
Applied Materials, Inc. |
Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
|
KR100842739B1
(ko)
*
|
2006-05-02 |
2008-07-01 |
주식회사 하이닉스반도체 |
고밀도 플라즈마 증착 장치의 정전척
|
WO2007142850A2
(en)
*
|
2006-06-02 |
2007-12-13 |
Applied Materials |
Gas flow control by differential pressure measurements
|
US7879184B2
(en)
*
|
2006-06-20 |
2011-02-01 |
Lam Research Corporation |
Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
|
DE102006028977B4
(de)
*
|
2006-06-23 |
2012-04-12 |
Qimonda Ag |
Sputterdepositions-Vorrichtung
|
US20080029484A1
(en)
*
|
2006-07-25 |
2008-02-07 |
Applied Materials, Inc. |
In-situ process diagnostics of in-film aluminum during plasma deposition
|
US7758763B2
(en)
*
|
2006-10-31 |
2010-07-20 |
Applied Materials, Inc. |
Plasma for resist removal and facet control of underlying features
|
US20080124944A1
(en)
*
|
2006-11-28 |
2008-05-29 |
Applied Materials, Inc. |
Gas baffle and distributor for semiconductor processing chamber
|
US7758698B2
(en)
|
2006-11-28 |
2010-07-20 |
Applied Materials, Inc. |
Dual top gas feed through distributor for high density plasma chamber
|
US20080121177A1
(en)
*
|
2006-11-28 |
2008-05-29 |
Applied Materials, Inc. |
Dual top gas feed through distributor for high density plasma chamber
|
US7740706B2
(en)
|
2006-11-28 |
2010-06-22 |
Applied Materials, Inc. |
Gas baffle and distributor for semiconductor processing chamber
|
US7939422B2
(en)
*
|
2006-12-07 |
2011-05-10 |
Applied Materials, Inc. |
Methods of thin film process
|
US20080142483A1
(en)
*
|
2006-12-07 |
2008-06-19 |
Applied Materials, Inc. |
Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
|
US7732728B2
(en)
*
|
2007-01-17 |
2010-06-08 |
Lam Research Corporation |
Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
|
US20080299775A1
(en)
*
|
2007-06-04 |
2008-12-04 |
Applied Materials, Inc. |
Gapfill extension of hdp-cvd integrated process modulation sio2 process
|
US7867921B2
(en)
|
2007-09-07 |
2011-01-11 |
Applied Materials, Inc. |
Reduction of etch-rate drift in HDP processes
|
US7745350B2
(en)
|
2007-09-07 |
2010-06-29 |
Applied Materials, Inc. |
Impurity control in HDP-CVD DEP/ETCH/DEP processes
|
KR101046520B1
(ko)
|
2007-09-07 |
2011-07-04 |
어플라이드 머티어리얼스, 인코포레이티드 |
내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
|
US8118946B2
(en)
*
|
2007-11-30 |
2012-02-21 |
Wesley George Lau |
Cleaning process residues from substrate processing chamber components
|
US7678715B2
(en)
*
|
2007-12-21 |
2010-03-16 |
Applied Materials, Inc. |
Low wet etch rate silicon nitride film
|
US7704897B2
(en)
*
|
2008-02-22 |
2010-04-27 |
Applied Materials, Inc. |
HDP-CVD SiON films for gap-fill
|
JP2011521735A
(ja)
*
|
2008-05-30 |
2011-07-28 |
コロラド ステート ユニバーシティ リサーチ ファンデーション |
プラズマを発生させるためのシステム、方法、および装置
|
JP2011522381A
(ja)
*
|
2008-05-30 |
2011-07-28 |
コロラド ステート ユニバーシティ リサーチ ファンデーション |
プラズマに基づく化学源装置およびその使用方法
|
US8994270B2
(en)
|
2008-05-30 |
2015-03-31 |
Colorado State University Research Foundation |
System and methods for plasma application
|
US7972968B2
(en)
*
|
2008-08-18 |
2011-07-05 |
Applied Materials, Inc. |
High density plasma gapfill deposition-etch-deposition process etchant
|
CN101351076B
(zh)
*
|
2008-09-16 |
2011-08-17 |
北京北方微电子基地设备工艺研究中心有限责任公司 |
等离子体处理设备
|
WO2010048076A2
(en)
*
|
2008-10-21 |
2010-04-29 |
Applied Materials, Inc. |
Plasma source for chamber cleaning and process
|
US7967913B2
(en)
*
|
2008-10-22 |
2011-06-28 |
Applied Materials, Inc. |
Remote plasma clean process with cycled high and low pressure clean steps
|
JP2010192197A
(ja)
*
|
2009-02-17 |
2010-09-02 |
Tokyo Electron Ltd |
基板処理装置及び基板処理方法
|
US20100270262A1
(en)
*
|
2009-04-22 |
2010-10-28 |
Applied Materials, Inc. |
Etching low-k dielectric or removing resist with a filtered ionized gas
|
DE102009044276A1
(de)
*
|
2009-10-16 |
2011-05-05 |
Aixtron Ag |
CVD-Reaktor mit auf einem mehrere Zonen aufweisenden Gaspolster liegenden Substrathalter
|
US8222822B2
(en)
*
|
2009-10-27 |
2012-07-17 |
Tyco Healthcare Group Lp |
Inductively-coupled plasma device
|
WO2011119611A2
(en)
*
|
2010-03-22 |
2011-09-29 |
Applied Materials, Inc. |
Dielectric deposition using a remote plasma source
|
JP5553460B2
(ja)
|
2010-03-31 |
2014-07-16 |
コロラド ステート ユニバーシティー リサーチ ファウンデーション |
液体−気体界面プラズマデバイス
|
CA2794895A1
(en)
|
2010-03-31 |
2011-10-06 |
Colorado State University Research Foundation |
Liquid-gas interface plasma device
|
US9324576B2
(en)
|
2010-05-27 |
2016-04-26 |
Applied Materials, Inc. |
Selective etch for silicon films
|
JP5685405B2
(ja)
*
|
2010-09-03 |
2015-03-18 |
株式会社日立ハイテクノロジーズ |
真空処理装置
|
US8741778B2
(en)
|
2010-12-14 |
2014-06-03 |
Applied Materials, Inc. |
Uniform dry etch in two stages
|
US9719169B2
(en)
|
2010-12-20 |
2017-08-01 |
Novellus Systems, Inc. |
System and apparatus for flowable deposition in semiconductor fabrication
|
US10283321B2
(en)
|
2011-01-18 |
2019-05-07 |
Applied Materials, Inc. |
Semiconductor processing system and methods using capacitively coupled plasma
|
US8771539B2
(en)
|
2011-02-22 |
2014-07-08 |
Applied Materials, Inc. |
Remotely-excited fluorine and water vapor etch
|
US9064815B2
(en)
|
2011-03-14 |
2015-06-23 |
Applied Materials, Inc. |
Methods for etch of metal and metal-oxide films
|
US8999856B2
(en)
|
2011-03-14 |
2015-04-07 |
Applied Materials, Inc. |
Methods for etch of sin films
|
US8497211B2
(en)
|
2011-06-24 |
2013-07-30 |
Applied Materials, Inc. |
Integrated process modulation for PSG gapfill
|
JP5902896B2
(ja)
*
|
2011-07-08 |
2016-04-13 |
東京エレクトロン株式会社 |
基板処理装置
|
US8771536B2
(en)
|
2011-08-01 |
2014-07-08 |
Applied Materials, Inc. |
Dry-etch for silicon-and-carbon-containing films
|
US8679982B2
(en)
|
2011-08-26 |
2014-03-25 |
Applied Materials, Inc. |
Selective suppression of dry-etch rate of materials containing both silicon and oxygen
|
US8679983B2
(en)
|
2011-09-01 |
2014-03-25 |
Applied Materials, Inc. |
Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
|
US8927390B2
(en)
|
2011-09-26 |
2015-01-06 |
Applied Materials, Inc. |
Intrench profile
|
TW202418889A
(zh)
|
2011-10-05 |
2024-05-01 |
美商應用材料股份有限公司 |
包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
|
US8808563B2
(en)
|
2011-10-07 |
2014-08-19 |
Applied Materials, Inc. |
Selective etch of silicon by way of metastable hydrogen termination
|
WO2013070436A1
(en)
|
2011-11-08 |
2013-05-16 |
Applied Materials, Inc. |
Methods of reducing substrate dislocation during gapfill processing
|
US8920888B2
(en)
*
|
2012-04-04 |
2014-12-30 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Plasma process, film deposition method and system using rotary chuck
|
US9267739B2
(en)
|
2012-07-18 |
2016-02-23 |
Applied Materials, Inc. |
Pedestal with multi-zone temperature control and multiple purge capabilities
|
US9373517B2
(en)
|
2012-08-02 |
2016-06-21 |
Applied Materials, Inc. |
Semiconductor processing with DC assisted RF power for improved control
|
US9034770B2
(en)
|
2012-09-17 |
2015-05-19 |
Applied Materials, Inc. |
Differential silicon oxide etch
|
US9023734B2
(en)
|
2012-09-18 |
2015-05-05 |
Applied Materials, Inc. |
Radical-component oxide etch
|
US9390937B2
(en)
|
2012-09-20 |
2016-07-12 |
Applied Materials, Inc. |
Silicon-carbon-nitride selective etch
|
US9132436B2
(en)
|
2012-09-21 |
2015-09-15 |
Applied Materials, Inc. |
Chemical control features in wafer process equipment
|
US10727092B2
(en)
*
|
2012-10-17 |
2020-07-28 |
Applied Materials, Inc. |
Heated substrate support ring
|
US8765574B2
(en)
|
2012-11-09 |
2014-07-01 |
Applied Materials, Inc. |
Dry etch process
|
US8969212B2
(en)
|
2012-11-20 |
2015-03-03 |
Applied Materials, Inc. |
Dry-etch selectivity
|
US9064816B2
(en)
|
2012-11-30 |
2015-06-23 |
Applied Materials, Inc. |
Dry-etch for selective oxidation removal
|
US8980763B2
(en)
|
2012-11-30 |
2015-03-17 |
Applied Materials, Inc. |
Dry-etch for selective tungsten removal
|
US9111877B2
(en)
|
2012-12-18 |
2015-08-18 |
Applied Materials, Inc. |
Non-local plasma oxide etch
|
US8921234B2
(en)
|
2012-12-21 |
2014-12-30 |
Applied Materials, Inc. |
Selective titanium nitride etching
|
US9018108B2
(en)
|
2013-01-25 |
2015-04-28 |
Applied Materials, Inc. |
Low shrinkage dielectric films
|
US10256079B2
(en)
|
2013-02-08 |
2019-04-09 |
Applied Materials, Inc. |
Semiconductor processing systems having multiple plasma configurations
|
US9362130B2
(en)
|
2013-03-01 |
2016-06-07 |
Applied Materials, Inc. |
Enhanced etching processes using remote plasma sources
|
US9040422B2
(en)
|
2013-03-05 |
2015-05-26 |
Applied Materials, Inc. |
Selective titanium nitride removal
|
US9532826B2
(en)
|
2013-03-06 |
2017-01-03 |
Covidien Lp |
System and method for sinus surgery
|
US8801952B1
(en)
|
2013-03-07 |
2014-08-12 |
Applied Materials, Inc. |
Conformal oxide dry etch
|
US10170282B2
(en)
|
2013-03-08 |
2019-01-01 |
Applied Materials, Inc. |
Insulated semiconductor faceplate designs
|
US20140273487A1
(en)
*
|
2013-03-13 |
2014-09-18 |
Applied Materials, Inc. |
Pulsed dc plasma etching process and apparatus
|
US9555145B2
(en)
|
2013-03-13 |
2017-01-31 |
Covidien Lp |
System and method for biofilm remediation
|
US20140271097A1
(en)
|
2013-03-15 |
2014-09-18 |
Applied Materials, Inc. |
Processing systems and methods for halide scavenging
|
US8895449B1
(en)
|
2013-05-16 |
2014-11-25 |
Applied Materials, Inc. |
Delicate dry clean
|
US9114438B2
(en)
|
2013-05-21 |
2015-08-25 |
Applied Materials, Inc. |
Copper residue chamber clean
|
US9493879B2
(en)
|
2013-07-12 |
2016-11-15 |
Applied Materials, Inc. |
Selective sputtering for pattern transfer
|
US9773648B2
(en)
|
2013-08-30 |
2017-09-26 |
Applied Materials, Inc. |
Dual discharge modes operation for remote plasma
|
US8956980B1
(en)
|
2013-09-16 |
2015-02-17 |
Applied Materials, Inc. |
Selective etch of silicon nitride
|
US9847222B2
(en)
|
2013-10-25 |
2017-12-19 |
Lam Research Corporation |
Treatment for flowable dielectric deposition on substrate surfaces
|
US8951429B1
(en)
|
2013-10-29 |
2015-02-10 |
Applied Materials, Inc. |
Tungsten oxide processing
|
US9576809B2
(en)
|
2013-11-04 |
2017-02-21 |
Applied Materials, Inc. |
Etch suppression with germanium
|
US9236265B2
(en)
|
2013-11-04 |
2016-01-12 |
Applied Materials, Inc. |
Silicon germanium processing
|
US9520303B2
(en)
|
2013-11-12 |
2016-12-13 |
Applied Materials, Inc. |
Aluminum selective etch
|
US9245762B2
(en)
|
2013-12-02 |
2016-01-26 |
Applied Materials, Inc. |
Procedure for etch rate consistency
|
US9117855B2
(en)
|
2013-12-04 |
2015-08-25 |
Applied Materials, Inc. |
Polarity control for remote plasma
|
US9263278B2
(en)
|
2013-12-17 |
2016-02-16 |
Applied Materials, Inc. |
Dopant etch selectivity control
|
US9287095B2
(en)
|
2013-12-17 |
2016-03-15 |
Applied Materials, Inc. |
Semiconductor system assemblies and methods of operation
|
US9190293B2
(en)
|
2013-12-18 |
2015-11-17 |
Applied Materials, Inc. |
Even tungsten etch for high aspect ratio trenches
|
US9287134B2
(en)
|
2014-01-17 |
2016-03-15 |
Applied Materials, Inc. |
Titanium oxide etch
|
US9396989B2
(en)
|
2014-01-27 |
2016-07-19 |
Applied Materials, Inc. |
Air gaps between copper lines
|
US9293568B2
(en)
|
2014-01-27 |
2016-03-22 |
Applied Materials, Inc. |
Method of fin patterning
|
US9385028B2
(en)
|
2014-02-03 |
2016-07-05 |
Applied Materials, Inc. |
Air gap process
|
US9499898B2
(en)
|
2014-03-03 |
2016-11-22 |
Applied Materials, Inc. |
Layered thin film heater and method of fabrication
|
US9299575B2
(en)
|
2014-03-17 |
2016-03-29 |
Applied Materials, Inc. |
Gas-phase tungsten etch
|
US9299538B2
(en)
|
2014-03-20 |
2016-03-29 |
Applied Materials, Inc. |
Radial waveguide systems and methods for post-match control of microwaves
|
US9299537B2
(en)
|
2014-03-20 |
2016-03-29 |
Applied Materials, Inc. |
Radial waveguide systems and methods for post-match control of microwaves
|
US9136273B1
(en)
|
2014-03-21 |
2015-09-15 |
Applied Materials, Inc. |
Flash gate air gap
|
US9903020B2
(en)
|
2014-03-31 |
2018-02-27 |
Applied Materials, Inc. |
Generation of compact alumina passivation layers on aluminum plasma equipment components
|
US9269590B2
(en)
|
2014-04-07 |
2016-02-23 |
Applied Materials, Inc. |
Spacer formation
|
US9309598B2
(en)
|
2014-05-28 |
2016-04-12 |
Applied Materials, Inc. |
Oxide and metal removal
|
US9847289B2
(en)
|
2014-05-30 |
2017-12-19 |
Applied Materials, Inc. |
Protective via cap for improved interconnect performance
|
US9378969B2
(en)
|
2014-06-19 |
2016-06-28 |
Applied Materials, Inc. |
Low temperature gas-phase carbon removal
|
US9406523B2
(en)
|
2014-06-19 |
2016-08-02 |
Applied Materials, Inc. |
Highly selective doped oxide removal method
|
US9425058B2
(en)
|
2014-07-24 |
2016-08-23 |
Applied Materials, Inc. |
Simplified litho-etch-litho-etch process
|
JP6499835B2
(ja)
*
|
2014-07-24 |
2019-04-10 |
株式会社日立ハイテクノロジーズ |
プラズマ処理装置およびプラズマ処理方法
|
US9159606B1
(en)
|
2014-07-31 |
2015-10-13 |
Applied Materials, Inc. |
Metal air gap
|
US9496167B2
(en)
|
2014-07-31 |
2016-11-15 |
Applied Materials, Inc. |
Integrated bit-line airgap formation and gate stack post clean
|
US9378978B2
(en)
|
2014-07-31 |
2016-06-28 |
Applied Materials, Inc. |
Integrated oxide recess and floating gate fin trimming
|
US9165786B1
(en)
|
2014-08-05 |
2015-10-20 |
Applied Materials, Inc. |
Integrated oxide and nitride recess for better channel contact in 3D architectures
|
US9659753B2
(en)
|
2014-08-07 |
2017-05-23 |
Applied Materials, Inc. |
Grooved insulator to reduce leakage current
|
US9553102B2
(en)
|
2014-08-19 |
2017-01-24 |
Applied Materials, Inc. |
Tungsten separation
|
US10049921B2
(en)
|
2014-08-20 |
2018-08-14 |
Lam Research Corporation |
Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
|
US9355856B2
(en)
|
2014-09-12 |
2016-05-31 |
Applied Materials, Inc. |
V trench dry etch
|
US9368364B2
(en)
|
2014-09-24 |
2016-06-14 |
Applied Materials, Inc. |
Silicon etch process with tunable selectivity to SiO2 and other materials
|
US9355862B2
(en)
|
2014-09-24 |
2016-05-31 |
Applied Materials, Inc. |
Fluorine-based hardmask removal
|
US9613822B2
(en)
|
2014-09-25 |
2017-04-04 |
Applied Materials, Inc. |
Oxide etch selectivity enhancement
|
US9355922B2
(en)
|
2014-10-14 |
2016-05-31 |
Applied Materials, Inc. |
Systems and methods for internal surface conditioning in plasma processing equipment
|
US9966240B2
(en)
|
2014-10-14 |
2018-05-08 |
Applied Materials, Inc. |
Systems and methods for internal surface conditioning assessment in plasma processing equipment
|
US11637002B2
(en)
|
2014-11-26 |
2023-04-25 |
Applied Materials, Inc. |
Methods and systems to enhance process uniformity
|
US9299583B1
(en)
|
2014-12-05 |
2016-03-29 |
Applied Materials, Inc. |
Aluminum oxide selective etch
|
US10573496B2
(en)
|
2014-12-09 |
2020-02-25 |
Applied Materials, Inc. |
Direct outlet toroidal plasma source
|
US10224210B2
(en)
|
2014-12-09 |
2019-03-05 |
Applied Materials, Inc. |
Plasma processing system with direct outlet toroidal plasma source
|
US9502258B2
(en)
|
2014-12-23 |
2016-11-22 |
Applied Materials, Inc. |
Anisotropic gap etch
|
US9343272B1
(en)
|
2015-01-08 |
2016-05-17 |
Applied Materials, Inc. |
Self-aligned process
|
US11257693B2
(en)
|
2015-01-09 |
2022-02-22 |
Applied Materials, Inc. |
Methods and systems to improve pedestal temperature control
|
US9373522B1
(en)
|
2015-01-22 |
2016-06-21 |
Applied Mateials, Inc. |
Titanium nitride removal
|
US9449846B2
(en)
|
2015-01-28 |
2016-09-20 |
Applied Materials, Inc. |
Vertical gate separation
|
US9728437B2
(en)
|
2015-02-03 |
2017-08-08 |
Applied Materials, Inc. |
High temperature chuck for plasma processing systems
|
US20160225652A1
(en)
|
2015-02-03 |
2016-08-04 |
Applied Materials, Inc. |
Low temperature chuck for plasma processing systems
|
US9881805B2
(en)
|
2015-03-02 |
2018-01-30 |
Applied Materials, Inc. |
Silicon selective removal
|
US9691645B2
(en)
|
2015-08-06 |
2017-06-27 |
Applied Materials, Inc. |
Bolted wafer chuck thermal management systems and methods for wafer processing systems
|
US9741593B2
(en)
|
2015-08-06 |
2017-08-22 |
Applied Materials, Inc. |
Thermal management systems and methods for wafer processing systems
|
US9349605B1
(en)
|
2015-08-07 |
2016-05-24 |
Applied Materials, Inc. |
Oxide etch selectivity systems and methods
|
US10504700B2
(en)
|
2015-08-27 |
2019-12-10 |
Applied Materials, Inc. |
Plasma etching systems and methods with secondary plasma injection
|
US9916977B2
(en)
|
2015-11-16 |
2018-03-13 |
Lam Research Corporation |
Low k dielectric deposition via UV driven photopolymerization
|
US10388546B2
(en)
|
2015-11-16 |
2019-08-20 |
Lam Research Corporation |
Apparatus for UV flowable dielectric
|
JP6595396B2
(ja)
*
|
2016-04-21 |
2019-10-23 |
東京エレクトロン株式会社 |
プラズマ処理装置
|
JP6592394B2
(ja)
*
|
2016-04-21 |
2019-10-16 |
東京エレクトロン株式会社 |
プラズマ処理装置の保守方法
|
US11017984B2
(en)
|
2016-04-28 |
2021-05-25 |
Applied Materials, Inc. |
Ceramic coated quartz lid for processing chamber
|
US10504754B2
(en)
|
2016-05-19 |
2019-12-10 |
Applied Materials, Inc. |
Systems and methods for improved semiconductor etching and component protection
|
US10522371B2
(en)
|
2016-05-19 |
2019-12-31 |
Applied Materials, Inc. |
Systems and methods for improved semiconductor etching and component protection
|
JP6696322B2
(ja)
*
|
2016-06-24 |
2020-05-20 |
東京エレクトロン株式会社 |
ガス処理装置、ガス処理方法及び記憶媒体
|
US9865484B1
(en)
|
2016-06-29 |
2018-01-09 |
Applied Materials, Inc. |
Selective etch using material modification and RF pulsing
|
US10062575B2
(en)
|
2016-09-09 |
2018-08-28 |
Applied Materials, Inc. |
Poly directional etch by oxidation
|
US10629473B2
(en)
|
2016-09-09 |
2020-04-21 |
Applied Materials, Inc. |
Footing removal for nitride spacer
|
US10546729B2
(en)
|
2016-10-04 |
2020-01-28 |
Applied Materials, Inc. |
Dual-channel showerhead with improved profile
|
US9934942B1
(en)
|
2016-10-04 |
2018-04-03 |
Applied Materials, Inc. |
Chamber with flow-through source
|
US10062585B2
(en)
|
2016-10-04 |
2018-08-28 |
Applied Materials, Inc. |
Oxygen compatible plasma source
|
US9721789B1
(en)
|
2016-10-04 |
2017-08-01 |
Applied Materials, Inc. |
Saving ion-damaged spacers
|
US10062579B2
(en)
|
2016-10-07 |
2018-08-28 |
Applied Materials, Inc. |
Selective SiN lateral recess
|
US9947549B1
(en)
|
2016-10-10 |
2018-04-17 |
Applied Materials, Inc. |
Cobalt-containing material removal
|
US10163696B2
(en)
|
2016-11-11 |
2018-12-25 |
Applied Materials, Inc. |
Selective cobalt removal for bottom up gapfill
|
US9768034B1
(en)
|
2016-11-11 |
2017-09-19 |
Applied Materials, Inc. |
Removal methods for high aspect ratio structures
|
US10242908B2
(en)
|
2016-11-14 |
2019-03-26 |
Applied Materials, Inc. |
Airgap formation with damage-free copper
|
US10026621B2
(en)
|
2016-11-14 |
2018-07-17 |
Applied Materials, Inc. |
SiN spacer profile patterning
|
US10566206B2
(en)
|
2016-12-27 |
2020-02-18 |
Applied Materials, Inc. |
Systems and methods for anisotropic material breakthrough
|
US10431429B2
(en)
|
2017-02-03 |
2019-10-01 |
Applied Materials, Inc. |
Systems and methods for radial and azimuthal control of plasma uniformity
|
US10403507B2
(en)
|
2017-02-03 |
2019-09-03 |
Applied Materials, Inc. |
Shaped etch profile with oxidation
|
US10043684B1
(en)
|
2017-02-06 |
2018-08-07 |
Applied Materials, Inc. |
Self-limiting atomic thermal etching systems and methods
|
US10319739B2
(en)
|
2017-02-08 |
2019-06-11 |
Applied Materials, Inc. |
Accommodating imperfectly aligned memory holes
|
US10943834B2
(en)
|
2017-03-13 |
2021-03-09 |
Applied Materials, Inc. |
Replacement contact process
|
US10319649B2
(en)
|
2017-04-11 |
2019-06-11 |
Applied Materials, Inc. |
Optical emission spectroscopy (OES) for remote plasma monitoring
|
US11276559B2
(en)
|
2017-05-17 |
2022-03-15 |
Applied Materials, Inc. |
Semiconductor processing chamber for multiple precursor flow
|
US11276590B2
(en)
|
2017-05-17 |
2022-03-15 |
Applied Materials, Inc. |
Multi-zone semiconductor substrate supports
|
US10049891B1
(en)
|
2017-05-31 |
2018-08-14 |
Applied Materials, Inc. |
Selective in situ cobalt residue removal
|
US11615944B2
(en)
|
2017-05-31 |
2023-03-28 |
Applied Materials, Inc. |
Remote plasma oxidation chamber
|
US10497579B2
(en)
|
2017-05-31 |
2019-12-03 |
Applied Materials, Inc. |
Water-free etching methods
|
US10920320B2
(en)
|
2017-06-16 |
2021-02-16 |
Applied Materials, Inc. |
Plasma health determination in semiconductor substrate processing reactors
|
US10541246B2
(en)
|
2017-06-26 |
2020-01-21 |
Applied Materials, Inc. |
3D flash memory cells which discourage cross-cell electrical tunneling
|
US10727080B2
(en)
|
2017-07-07 |
2020-07-28 |
Applied Materials, Inc. |
Tantalum-containing material removal
|
US10541184B2
(en)
|
2017-07-11 |
2020-01-21 |
Applied Materials, Inc. |
Optical emission spectroscopic techniques for monitoring etching
|
US10354889B2
(en)
|
2017-07-17 |
2019-07-16 |
Applied Materials, Inc. |
Non-halogen etching of silicon-containing materials
|
US10043674B1
(en)
|
2017-08-04 |
2018-08-07 |
Applied Materials, Inc. |
Germanium etching systems and methods
|
US10170336B1
(en)
|
2017-08-04 |
2019-01-01 |
Applied Materials, Inc. |
Methods for anisotropic control of selective silicon removal
|
US10297458B2
(en)
|
2017-08-07 |
2019-05-21 |
Applied Materials, Inc. |
Process window widening using coated parts in plasma etch processes
|
US11955362B2
(en)
|
2017-09-13 |
2024-04-09 |
Applied Materials, Inc. |
Substrate support for reduced damage substrate backside
|
US10128086B1
(en)
|
2017-10-24 |
2018-11-13 |
Applied Materials, Inc. |
Silicon pretreatment for nitride removal
|
US10283324B1
(en)
|
2017-10-24 |
2019-05-07 |
Applied Materials, Inc. |
Oxygen treatment for nitride etching
|
US10256112B1
(en)
|
2017-12-08 |
2019-04-09 |
Applied Materials, Inc. |
Selective tungsten removal
|
US10903054B2
(en)
|
2017-12-19 |
2021-01-26 |
Applied Materials, Inc. |
Multi-zone gas distribution systems and methods
|
CN108048820A
(zh)
*
|
2017-12-22 |
2018-05-18 |
江苏鲁汶仪器有限公司 |
气相沉积设备和气相沉积方法
|
US11328909B2
(en)
|
2017-12-22 |
2022-05-10 |
Applied Materials, Inc. |
Chamber conditioning and removal processes
|
US10854426B2
(en)
|
2018-01-08 |
2020-12-01 |
Applied Materials, Inc. |
Metal recess for semiconductor structures
|
US10964512B2
(en)
|
2018-02-15 |
2021-03-30 |
Applied Materials, Inc. |
Semiconductor processing chamber multistage mixing apparatus and methods
|
US10679870B2
(en)
|
2018-02-15 |
2020-06-09 |
Applied Materials, Inc. |
Semiconductor processing chamber multistage mixing apparatus
|
TWI716818B
(zh)
|
2018-02-28 |
2021-01-21 |
美商應用材料股份有限公司 |
形成氣隙的系統及方法
|
US10593560B2
(en)
|
2018-03-01 |
2020-03-17 |
Applied Materials, Inc. |
Magnetic induction plasma source for semiconductor processes and equipment
|
US10319600B1
(en)
|
2018-03-12 |
2019-06-11 |
Applied Materials, Inc. |
Thermal silicon etch
|
US10497573B2
(en)
|
2018-03-13 |
2019-12-03 |
Applied Materials, Inc. |
Selective atomic layer etching of semiconductor materials
|
US10573527B2
(en)
|
2018-04-06 |
2020-02-25 |
Applied Materials, Inc. |
Gas-phase selective etching systems and methods
|
US10490406B2
(en)
|
2018-04-10 |
2019-11-26 |
Appled Materials, Inc. |
Systems and methods for material breakthrough
|
US10699879B2
(en)
|
2018-04-17 |
2020-06-30 |
Applied Materials, Inc. |
Two piece electrode assembly with gap for plasma control
|
US10886137B2
(en)
|
2018-04-30 |
2021-01-05 |
Applied Materials, Inc. |
Selective nitride removal
|
US10755941B2
(en)
|
2018-07-06 |
2020-08-25 |
Applied Materials, Inc. |
Self-limiting selective etching systems and methods
|
US10872778B2
(en)
|
2018-07-06 |
2020-12-22 |
Applied Materials, Inc. |
Systems and methods utilizing solid-phase etchants
|
US10672642B2
(en)
|
2018-07-24 |
2020-06-02 |
Applied Materials, Inc. |
Systems and methods for pedestal configuration
|
US11049755B2
(en)
|
2018-09-14 |
2021-06-29 |
Applied Materials, Inc. |
Semiconductor substrate supports with embedded RF shield
|
US10892198B2
(en)
|
2018-09-14 |
2021-01-12 |
Applied Materials, Inc. |
Systems and methods for improved performance in semiconductor processing
|
US11062887B2
(en)
|
2018-09-17 |
2021-07-13 |
Applied Materials, Inc. |
High temperature RF heater pedestals
|
US11417534B2
(en)
|
2018-09-21 |
2022-08-16 |
Applied Materials, Inc. |
Selective material removal
|
US11682560B2
(en)
|
2018-10-11 |
2023-06-20 |
Applied Materials, Inc. |
Systems and methods for hafnium-containing film removal
|
US11121002B2
(en)
|
2018-10-24 |
2021-09-14 |
Applied Materials, Inc. |
Systems and methods for etching metals and metal derivatives
|
US11437242B2
(en)
|
2018-11-27 |
2022-09-06 |
Applied Materials, Inc. |
Selective removal of silicon-containing materials
|
US11721527B2
(en)
|
2019-01-07 |
2023-08-08 |
Applied Materials, Inc. |
Processing chamber mixing systems
|
US10920319B2
(en)
|
2019-01-11 |
2021-02-16 |
Applied Materials, Inc. |
Ceramic showerheads with conductive electrodes
|
US11610792B2
(en)
*
|
2019-08-16 |
2023-03-21 |
Applied Materials, Inc. |
Heated substrate support with thermal baffles
|
JP7032554B2
(ja)
*
|
2019-12-25 |
2022-03-08 |
三菱電機株式会社 |
マイクロ波プラズマ処理装置
|
CN116917533A
(zh)
*
|
2021-02-19 |
2023-10-20 |
应用材料公司 |
基板支撑件、处理基板的方法、以及处理系统
|
KR20240001171A
(ko)
*
|
2021-04-26 |
2024-01-03 |
도쿄엘렉트론가부시키가이샤 |
기판 처리 장치 및 기판 처리 방법
|
US11917744B2
(en)
*
|
2021-07-22 |
2024-02-27 |
Perkinelmer Scientific Canada Ulc |
Heat management for inductively coupled plasma systems
|
DE102022102768A1
(de)
*
|
2022-02-07 |
2023-08-10 |
Stephan Wege |
Symmetrischer Prozessreaktor
|
JP7358576B1
(ja)
|
2022-07-21 |
2023-10-10 |
積水化学工業株式会社 |
成膜装置及び膜付きウェハの製造方法
|