TW293983B - - Google Patents

Download PDF

Info

Publication number
TW293983B
TW293983B TW083111896A TW83111896A TW293983B TW 293983 B TW293983 B TW 293983B TW 083111896 A TW083111896 A TW 083111896A TW 83111896 A TW83111896 A TW 83111896A TW 293983 B TW293983 B TW 293983B
Authority
TW
Taiwan
Prior art keywords
gas
processing
supply
item
substrate
Prior art date
Application number
TW083111896A
Other languages
English (en)
Original Assignee
Tokyo Electron Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP07671794A external-priority patent/JP3150027B2/ja
Application filed by Tokyo Electron Co Ltd filed Critical Tokyo Electron Co Ltd
Application granted granted Critical
Publication of TW293983B publication Critical patent/TW293983B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

208983 at ____B7_ 五、發明说明(1 ) 發明之背景 〔發明之領域〕 本發明係有關一種利用《漿處理半導《晶片,L C D 基板等之基板的電漿處理裝置,特別是一種m漿cvd裝 置0 · 〔習知技術之說明〕 按,如日本特開平3 - 7 9 0 2 5猇公報中所掲示之 電漿處理裝置,由絕緣屛蔽罩所分隔之處理室的外側,配 置有平坦之一個感應線圈,其係與無線頻率電源相接績。 藉由胲想應線圈,形成用以促進電子運動之感應電磁場, 如此可助長電漿之生成。 歐洲發明專利申請公開第0 3 7 9 8 2 8號中所揭示 之電漿處理裝置中,無線頻率係施加於漩渦狀或螓旋狀之 感應線圈,使得電漿在處理室內生成。電漿係藉雙極磁場 ,閉入處理室內。 經濟部中央搮準局員工消费合作社印装 (請先閲讀背面之注f項再填寫本頁) 圖11中所示的是,藉由使用RF感應線圈之習用電 漿CVD裝置,在半導體晶片上形成半導體膜時之膜的膜 厚分佈狀況。R F感應線圈2係由具有與晶片W大致相同 之外徑,且與其同軸狀配置之一個線圈所構成。於同圖中 ,線圈2與晶片W之間配置之附有斜線的部份,係表示形 成之膜的膜厚分佈。 如圖所示,在使用習用裝置時,腆厚會有晶片W之中 央及周邊較薄,而在中央與周邊之閜較厚之傾向。此種腆 本纸張尺度逍用中B國家梂準(CNS ) A4規格(210X297公釐) 一'' 經濟部中央揉準局貞工消费合作社印«. A7 ___B7_五、發明说明(2 ) 厚之不均等性,在晶片W之直徑由6英吋,8英吋,1 2 英时愈來愈大的場合,會成爲一個無法忽視的問題。又,. 如LCD (液晶顯示器)基板般之尺寸爲5 0 OmmX 5 0 Omm或6 5 OmmX 6 5 Omm之面稹較大的被處 理基板中,形成之膜的膜厚之不均等性,也同樣會形成問 題0 R F感應線圈,係鄰接配置於界定處理室之壁的一部 份之由介電體,例如由石英所構成之窗部。電漿處理,特 別是在成膜處理中,窗部之內面易於附著副生成物。當附 著於窗部之副生成物由導電《所構成時,由R F感應線圈 所形成之感應髦磁場會產生紊亂現象,使得電漿之生成有 不均等之可能性。 〔發明之概要〕 本發明之目的,係在於使利用R F感應線圈之《漿處 理裝置中,對於被處理基板之處理的面內均等性提高。 根據本發明,提供一種對於基板之被斑理區域,使用 電漿進行處理之裝置,具有: 一界定氣密性處理室之外殼,此外般具有由介電體所 構成之窗板; 一用以將上述處理室排氣,並將上述處理室內設定成 眞空之排氣系: 一配置於上述處理室內,具有與上述窗板對向的支持 面之載置台,上述基板係以其被處理ffi域與上述窗板對向 本紙张尺度逍用中國國家*|^率(CNS ) A4*t格(210X297公釐) : " (請先W讀背面之注意事項再填寫本頁) 訂 經濟部中央揉隼局員工消费合作社印X. A7 _I__B7_ 五、發明说明(3 ) 之方式戧置於上述支持面上: 一用以在上述窗板與支持面上之基板之間,供給處理. 氣體(不只爲反應性氣髏,尙包括惰性氣體)之主供給系 ,上述處理氣髏'之至少一部份,係轉化成上述髦漿: 一在上述窗板與支持面上之間,用以形成促進轉化成 «漿之作用的電磁場之感應鼇極,上述感應m極具有在上 述處理室外之配設成與上述窗板對向之複數個導電性線圈 :及 一用以對上述線圈施加高頻電懕之電源部: 上述施加於線圈之高頻《壓,在鄰接之線圈的鄰接部 份,其電流之方向一致。 〔較佳實施例之細節說明〕 圚1所示之本發明第一實施例m漿c v d裝置,係使 甩於例如液晶顯示器(LCD )之製造中,用以在玻璃基 板上形成薄膜m晶體(tft)用聚矽或非晶性矽膜9 本CVD裝置,具有由鋁製之氣密性容器1 2。該容 器12之內壁係由陽極氧化經防蝕鋁處理,以使壁面不產 生污染物。容器1 2,係利用由介電體,例如由石英所構 成之窗板或分隔板1 4 ,被氣密地分隔成處理室1 6及上 部室1 8。分隔板1 4具有較作爲被處理物之基板更大的 面積。例如,若處理6 5 0 nmX6 5 Ο ηπι之LCD玻 璃基板時,分隔板1 4之面尺寸爲7 5 0mmX7 5 0 mm,厚度爲3 Omm〜5 Omm。處理室1 6係被股定 本紙張尺度逋用中國國家橾準(CNS ) A4*t格(210X297公釐) " —— (請先聞讀背面之注$項再填寫本頁) 订 ^^3983 A7 __'_·_B7 _ 五、發明説明(4 ) 成,相對6 5 0ιώπιΧ6 5 Omm之LCD基板,其容積 爲4 0〜8 0公升。 處理室1 6之下部,配設有載置台2 2。孩戧置台 2 2具有由載置於容器1 2底部之絕緣體所構成之框體 2 4,以及配置於框髏2 4內之下部電極2 6。電極2 6 係藉由框《2 4與容器1 2完全絕綠。電極2 6之上面與 框雔2 4之上面係形成平整,構成與分隔板1 4平行之基 板支持面。載置台2 2上又連設有以驅動部(圖未示)上 下移動之固定具2 8。作爲被處理物之基板S,係以由電 極2 6之上面及於框體2 4之上面的方式,載置於載置台 .2 2上,並由固定具27所固定。 經濟部中央標率扃貞工消费合作杜印装 (請先閲讀背面之注意事項再填寫本肓) 電極2 6內,配設有用以設定基板S之溫度的溫度調 整部件3 2。胲溫度.調整部件3 2,在矽膜之成膜處理中 ,係將基板S加热於例如5 5 0〜6 5 0 °C。此一場合下 ,作爲溫度調整部件3 2,可使用在陶瓷之板狀《上以 CVD被覆法被覆《阻《所得之陶姿加热具。反之,有必 要冷卻基板時,溫度調整部件3 2可爲流通有冷卻劑之冷 卻管。又,也可併用加热具及冷卻管二者。 電極2 6內,形成有用以將He等之傳热氣體供給至 載置台2 2之上面與基板S之裹面間所形成的空陳內之管 線4 2。管線4 2,在容器1 2外,係接績於具有壓力調 整機能之氣《源4 4。傳熱氣《,在眞空氣氛下所進行之 電漿CVD處理中,可輔助溫度調整部件3 2與基板S間 之热傳速。 表《•供尺度遑用中••家橾率(CNS > A4洗格(210X297公釐) ~ A7 B7 經濟部中央橾準局員工消费合作杜印*. 五、發明说明(5 ) 基板S之溫度設定,會影響成膜速度及膜質(孔隙愈 少,電傳連速度愈大愈爲良質),因此,溫度控制被要求 要有高精度。是以,電極2 6內,位於基板S之裹面附近 的位置,配設有溫度測定部件3 4,例如配設有熱電偶。 溫度測定部件3 4之計測値,係作爲電壓输出俥達至溫度 監視器3 6。該溫度監視器3 6係將計測値與預先設定之 係基準値比較所得的差分値,作爲溫度調整部件3 2之調 整信號,俥達至溫度控制器3 8。該溫度控制器3 8係根 據碉整信號控制溫度調整部件3 2,將基板S設定於一定 溫度。 溫度調整部件3 2及溫度測定部件3 4,可分別分割 成基板S之裏面附近所設的複數個元件。藉此,即使是例 如6 5 OmmX 6 5 Omm基板般之在中心部與外周部易 於產生溫度差之大尺寸基板,也能將其整體設定於均等之 溫度。 電極2 6上,介以匹配電路4 8接績有高頻電源4 6 。由電源4 6,電極上被施加數百KHz以至數十KHz 之偏壓用高頻電位。藉由此一高頻,由對向於基板S之被 處理面形成的處理氣體之電漿,離子被拉入,而促進成膜 速度。 處理室1 6內,在載置台2 2之上方,配設有用以供 給氣體之噴酒型第一及第二頭6 2 ,8 2。頭6 2 ,8 2 ,分別具有相對載置台2 2上所載置之基板S的載B面在 其平行之平面內所配置之複數個氣饞供給口6 4 ,8 4。 (請先閱讀背面之注意事項再填寫本頁) 訂 本紙張尺度適用中因_家輮攀(CNS ) A4此格(210X297公釐) 經 中 夬 梂 準 局 貝 i 消 费 合 作 杜 印 % A7 _B7_五、發明説明(6 ) 胲二頭6 2 ,8 2係由介電體,例如由石英所構成。該二 頭6 2 ,8 2也可由單結晶矽,多結晶矽,非晶性矽般之. 半導體所形成。此等介電雔或半導體,在後述之態樣中, 鮮少會使處理室'1 6內所形成之電磁場紊亂,因此,由不 致造成電漿生成之分佈性偏差的觀點而言,宜作爲頭6 2 ,8 2之材料。 下側之第一頭6 2,係藉與其同材料,例如由石英所 構成且一體形成之接績管6 6 ',導出至處理室1 6外。接 縝管6 6,係介以流置調整用質置流量控制器6 8,接績 於分別具有閥72a,72b之氣體源74a,74b。 氣髗源7 4 a,7 4 b,係作爲形成之膜的材料之被分解 氣體之源。 第一頭6 2 ,如圖2之底視圖所示,係由縱横向組裝 有管子之管格子7 6所構成。管格子7 6,係較基板S之 被處理區域宽廣1 0〜5 以可重疊其整體之方式形 成。接績管6 6,對於管格子7 6係在複數個,例如係在 對向之兩對合計4個部位接績。於圖1中,爲作圖方便計 ,就此點僅簡略表示。 構成第一頭6 2之管格子7 6的各個開口 7 8 ,係形 成相同形狀之正方形。供給口 6 4係設於管格子7 6之各 交叉點的下面,朝正下方定向。因此,供給口 6 4在與載 置台2 2之支持面及基板S平行的平面內,係均等地分佈 於基板S之被處理K域的整髗。又,由與管格子7 6對向 之兩對的部位接縯之管子6 6,會導入氣餹,因此,來自 (請先聞讀背面之注ί項再填寫本頁) 訂 本紙張尺度適用中國國家雄率(CNS ) A4规格(210X297公釐) 經濟部中夬橾率局貝工消费合作社印裝 203983 A7 _B7_五、發明説明(7 ) 供給口 6 4之氣體的供給,可槪略均等地實施。 於本實施例中,構成管格子7 6之管子的外徑,係1 mm〜5mm,而供給口 6 4之口徑係0 . 1mm〜 3 . 0 m m。此·等尺寸,係依氣體之種類或供給氣體置之 條件選擇。供給口 6 4之大小,宜設定成能防止電漿在反 應氣《源之方向發生者。 上側之第二頭8 2,係藉著由與其同材料,例如由石 英所構成且與其一《形成之接縯管8 6,被導出至處理室 1 6外。接績管8 6,係介以流置調整用質置流置控制器 8 8,接縯於分別具有閥9 2 a,9 2 b之氣髏源9 4 a ,9 4 b。一側之氣《源9 4 a,係在處理室1 6內會電 漿化,但在實質上不會成爲膜材料之情性氣體,例如A r (氬),N2 (氮).,Xe (氙),He (氦)或會電漿 化而成爲形成之膜的材料之氣體,例如N2 (氮),〇2 (氧)之源。其他之氣體源9 4 b,係用以將處理室1 6 之內壁,例如分隔板1 4上所堆稹之副生成物予以if除的 氣體,例如C 1 F 3之源。 第一頭8 2,如圖3之底視圖所示,係由組裝成短形 之管子框9 6所構成。由管子框9 6所形成之矩形,係與 第一頭6 2之管格子7 6的外輪廓相同,或是較其爲大。 接禊管8 6,相對管子框9 6係在複數個,例如在對向之 —對合計2個部位接績。於圖1中,爲作園之方便計,有 關此黏僅簡略表示。 供給口 8 4係在管子框9 6之內側面的全周上等間隔 表紙張尺度遑用中《«家輮率(CNS ) Μ洗格(210XM7公釐>一~~ 一 (請先閱讀背面之注意事項再填寫本萸) A7 B7 經濟部中央橾準局貞工消费合作社印«. 五、 發明説明(8 ) 地 設 置 5 並 定 向 於 正 横 內 向 0 BH- aE 以 9 供 給 □ 8 4 係 在 與 載 1 1 置 台 2 2 之 支 持 面 及 基 板 S 平 行 之 平 面 內 在 基 板 S 之 被. 1 I 處 理 面 域 的 周 園 作 均 等 性 分 佈 0 由 對 向 之 一 對 部位 接 績 之 1 I 管 子 8 6 > 氣 體 係 導 入 管 子 框 9 6 內 9 因 此 ί 來 i 供 給 □ 請 先 閲 1 1 I 8 4 之 氣 饅 的 供 給 , 可 大 致 均 地 實 施 〇 供 給 Ρ 8 4 也 可 讀 背 1 1 £ f 設 於 管 子 框 9 6 之 下 面 定 向 成 朝 正 下 方 0 反 之 » 供 給 P 之 注 1 I 也 可 設 於 Jnht 常 子 框 9 6 之 上 面 > 定 向 成 朝 正 上 方 0 1 項 f I 再 1 t 於 本 實 施 例 中 > 構 成 管 子 框 9 6 之 子 的 外 徑 係 1 % 窝 本 1 m m 5 m m 9 供 給 □ 之 □ 徑 係 0 • 1 m m 3 0 τη ΙΏ 頁 1 I 0 此 等 尺 寸 係 依 存 於 氣 體 之種 類 或 供 給 氣 镫 1: 等 之 條 件 而 1 I 選 擇 Ο I 1 1 由 分 隔 板 1 4 將 斑 理 室 1 6 氣 密 地 分 隔 成 之 上 部 室 1 订 1 8 內 > 配 設 有 感 應 蕙 極 或 天 線 1 0 2 〇 電 極 1 0 2 9 例 1 1 如 係 由 C U , A 1 之 導 m 體 所 構 成 之 多 數 個 線 圈 9 於 本 實 1 1 施例 中 9 係 由 兩 個 線 α〇η 圈 1 0 6 a 1 0 6 b 所 構 成 0 線 BD 1 1 1 0 6 a 9 1 0 6 b 係 配 置 於 載 置 在 分 隔 板 1 4 上 之 複 數 1 個 台 座 1 0 4 上 Ο 台 座 1 0 4 係 由 絕 热 性 且 擾 亂 m 磁 場 比 1 1 率 低 之 陶 瓷 材料 所 構 成 0 於 圖 1 中 , 台 座 1 0 4 係 概 略 地 1 表 示 9 更 具 髏 而 言 t 台 座 1 0 4 係 如 圖 4 9 圖 5 所 示 t 係 1 1 由 分 別 支 持 線 {XSI 明 1 0 6 a 1 0 6 b 之 -- 部 份 的 多 數 個 片 1 1 體 1 0 4 a 所 構 成 0 片 體 1 0 4 a 係 在 分 隔 板 1 4 上 被 固 1 I 定 位 置 > 線 圈 1 0 6 a 9 1 0 6 b 之 热 膨 脹 > 係 由 片 體 1 I 1 0 4 a 所 規 制 〇 1 1 1 線 CBW EB3 1 0 6 a 9 1 0 6 b 1 例 如 可 藉 著 將 1 m m 5 1 1 1 本Λ張尺度遑Λ中國·家橾準(CNS > A4*t格(210X 297公釐) 11 293983 經濟部中央梂準局貝工消费合作社印«. A7 B7 _五、發明説明(9 ) mm厚之網板沖懕加工成1Omm宽度之漩渦型線圈而製 成。線圈1 0 6 a.,1 0 6 b,也可藉著將外徑例如爲5 mm,內徑例如爲2 mm之銅製管子變成漩渦型而製成。 再者,也可將塞有芯子之外徑爲5mm〜1 0mm之銅棒 彎曲成濰渦型而製成該線圈。銅係電之良導體,且易於加 工成漩渦狀,在此等層面上有其優異性。作爲其他之適當 之線圈的材料,其可舉實例爲金,銀,鋁,或此等金靥與 銅任意組合成之合金。 線圈1 0 6 a,1 0 6 b,係介以匹配電路1 0 8接 縯於高頻電源1 1 2 6由氰源1 1 2,無線頻率,例如 13. 56MHz之高頻,係以同相位賦與至線圈 106a,106b〇如圖6所示,線圈106a, 1 0 6 b,係以反向之漩渦狀形成,其相鄰之部份電流的 方向一致,由兩個線圈所形成之m磁場,彼此不相互干涉 。線圈1 0 6a,1 0 6b,二者係被覆較基板S之被處 理區域寬廣5〜5 0 %之面稹範園,配置成可重叠於基板 S之整體。 上部室1 8內,在線圈1 0 6 a ,1 0 6 b之上方, 配設有與其對向之具有多數個氣體供給口的喷灑型頭 1 2 2。線圈1 0 6 a,1 0 6 b倉因高頻之施加而產生 焦耳热,以致溫度上昇,因此,藉由嘖灑頭1 2 2所供給 之情性冷媒,例如氮氣般之情性氣體,可予冷卻。於本實 施例中,頭1 2 2係藉管子1 2 4導出至容器1 2外,並 介以閥1 2 6,質置流量控制器1 2 8,溫度調整部件 張尺度遑用中國《家梂準(CNS )八4规格(2丨Ο X 297公釐) ~ (請先W讀背面之注$項再填寫本頁) A7 B7 |£濟部中央揉準局貝工消费合作杜印«. 五、發明説明(10) 1 3 2而接績於液體氮源1 34 ·源1 34內之液態氮, 係以氣鳢之狀態供給至溫度調整部件1 3 2而被設定於一 定之溫度,然後再供給至頭1 2 2 · 上部室1 8內形成有排氣口1 3 6,排氣幫浦1 3 8 係接績於該排氣口 136 ·藉由幫浦138,上部室18 內係被設定成真空*上部室1 8之排氣幫浦1 3 8與處理 — .......- . _ _ 室16之幫浦54,56,均係接績於壓力控制器142 •該控制器1 4 2係因應處理室1 6之壓力控制排氣幫浦 1 3 8之排氣量,使得處理室1 6與上部室1 8之差壓在. —定之範園內。 上述處理室1 6內之E力,根據溫度調螯部件3 2之 基板S之設定溫度,由頭62,82所供給之氣體的種類 ,流童,溫度,下骷電極2 6,對於線圈1 0 6 a, 1 0 6 b之施加電壓等*係顯示於操作盤上,可由操作者 確認及調節· 其次,茲以在LCD玻璃基板上形成聚矽或非晶性矽 膜之場合爲例,將本電漿C VD裝置的搡作臁樣說明之· 首先,將L CD玻璃基板S載置於載置台2 2上,以 固定具.2 8固定之•其次•將熱傅達氣體供給於載置台 2 2與基板S之裏面之間,藉由溫度調整部件3 2將基板 S之溫度設定於300〜50 eC ·又,對於處理室1 6內 ,係將其真空排氣至一定之壓力,例如至1 X 1 0_τ〜 1 0_3Τ〇 r r爲止•另外,由第一頭6 2導入被分解氣 體•例如甲矽镔(SiH4) •由第二躓82導入情性氣 ; ^-- (請先閱讀背面之注f項再填窝本頁) 訂 涑 本纸浪尺度適用中國國家4IUM CNS } A4此糌(210X297公釐) 經濟部中央搮準局只工消费合作社印装 A7 B7五、發明说明(11〉 體,例如導入氦(He )氣。藉由甲矽烷及氦氣之供給, 處理室1 6內之壓力係成爲1 0·3〜1 0·4Τ〇 r r。 於此狀態下,當線圈1 Ο 6 a,1 Ο 6 b上例如被施 加13. 56MHz之高頻時,處理室16內係形成感應 電磁場,藉此,氦氣乃被《漿化。電漿中之離子或《子, 係通過第一頭6 2之格子的開口 7 8,將甲矽烷氣體激發 ,而有助其分解。藉由甲矽烷氣髏之分解所獲得的矽,係 堆積於基板S上,而形成聚矽或非晶性矽膜。 在成膜中,附著於分隔板14上之堆積膜的一部份, 係因電漿氣髄之電漿而分解,氣化,並由分隔板1 4被除 去。依此所產生之氣體成份,其他之在成膜中未使用之供 給氣髋的成份,或是副生成物,係藉成膜中持縯之處理室 1 6的廢氣,介以排氣口 5 2排出。 成膜中,下部電極2 6上係由電源經施加高頻之偏Μ 電壓。是以,氦氣之電漿中的離午或電子係被拉向下方, 而變得易於通過第一頭6 2之開口 7 8。又,下部電極 2 6之偏壓電壓係高頻之故,因此,可防止只有電漿中之 離子被拉向電極所^成之電位上昇。 線圈1 0 6 a,1 0 6 b係藉高頻電壓之初加而產生 焦耳熱。例如,當1 3. 5 6MHz ,2 0 OW之高頻電 壓施加於最外周徑6英吋之Cu製線圈時,會有18 0° 〜2 5 0 °之溫度上昇。當此種溫度直接傅連至石英製分 隔板14時,會成爲微龜裂等裂縫之原因。支持線圈 1 0 6 a,1 0 6 b之台座1 0 4 ,由於係由具有絕熱性 本紙張尺度逋用中國《家樣準(CNS ) A4規格(210X297公釐) (請先S讀背面之注意Ϋ項再填寫本頁) 訂 14 鲤濟部中央樣率扃員工消费合作社印¾ A7 - _B7_五、發明说明(12 ) 且嫌亂電磁波程度低之陶瓷所構成,因此對於m漿之生成 沒有不良影響,可保護分隔板1 4使其不受热之影響。 又,在成膜中,作爲冷媒之氮氣係由頭1 2 2供給至 線圈1 0 6a,1 0 6b。然而,處理室1 6與上部室 1 8之Μ的差壓,係藉控制器1 4 2維持於一定値以下。 亦即,控制器1 4 2係因應來自控制器1 2 2之氮氣的供 給置控制排氣幫浦1 3 8之排氣置。因此,在分隔板1 4 上並無導因於差壓之大負荷。 圓1 0中所示的是,依此一方法所形成之膜的膜厚。 同圖中,線圈1 0 6 a,1 0 6 b與基板S之間所配置的 附有斜線的部份,係形成之膜的膜厚分佈。如圖所示*使 用本發明裝置之場合,膜上雖形成有若干之凹凸,但與圖 1 1所示之習用裝置相較,可知在膜厚之面內均等性方面 獲得改善。 其次,茲就在L C D玻璃基板上形成薄膜電晶體之過 每中的條件,進行說明。 於同過程中,由CVD所形成之膜的種類與使用氣體 之關係,係示於表1中。在形成.非晶性矽膜時,甲矽烷( SiH4 )係由第一頭62,氦(He)係由第二頭82 供給。在形成矽氣化膜時,甲矽烷(S i )係由第一 頭62,氧(〇2 )係由第二頭82供給。 (請先Μ讀背面之注f項再填寫本X ) 订 本紙張尺度邃用中國國家梯率{ CNS > A4规格(210X297公釐) 15 經濟部中央橾率局貝工消費合作社印製 ^^3983 A7 _._I__B7 五、發明说明(13 ) 〔表1〕 膜的種類 使用力1又 非晶性膜 SilU + He (a-SiN) 非晶性氮化矽膜 SifU + n2 (a-SiN) 氧化矽膜 SilU + 〇2 (S iOx) 於同過程中,蝕刻之處理對象膜與使用氣體之關係, 係示於表2中。 {請先閱讀背面之注意事項再填寫本頁) 訂 本紙浪尺度遑用中*钃家樣率(〇阳>八4規<格(210乂297公釐) -16 A7 _I____B7五、發明説明(14 ) 〔表2〕 蝕刻對象 使用力' 又 鋁 C 1 2 + B C 1 3 (A1 ) 非晶性矽 CF4或SFs,或 (a-Si ) C丨2/SFe之混合物 氮化矽 SFe (SiN) n· I n In Γ— n —Γ n J n - n In n I— -tT (請先M讀背面之注意事項再填寫本頁} 鍾濟部中央梂準局貝工消費合作社印«. 圈7—9,係感應《極102之變形例。 圖7之變形例〜係由横向並列配置之三個線圈116 所構成。根據此一變形例,鄰接之部份處,電流的方向一 致,且相郯之線圈所形成之《磁場,不相互千擾。 根據圖8之變更例,三個線圈117係配置位於正三 角形之頂黏。依此一方式配置時,不管將各嫌圈之電流的 方向如何設定,均無法避免任何二個線圈之鄰接部份處之 電流方向的相反。是以,根據此一變形例,係將施加於三 個鐮圈1 1 7之高頻相位,作逐個3 6 0/3 = 12 0度 本紙‘尺度遠用中••家鞣隼(CNS > Λ4说格(210X297公釐) ~~_ 經濟部中夬揉牟局貝工消费合作杜印ft A7 ___B7_五、發明说明(15〉 之移位,而減少因相鄰線圈所形成之電磁場彼此千擾之程 度。此一場合下,如圖1所示,係在各線圈之匹配《路 1 0 8與電源1 1 2之間,設置移相電路1 1 4,將各線 圈之相位移位〇 根據圖9之變形例,四個線圈1 1 8係以位於四角型 頂酤之方式,配置2 X 2個。依此一方式配置時,若使横 向並列之線圈的鄰接部份處之氰流方向一致時,位於對角 線之線圈的鄰接部份處*電流之方向相反》是以,根據此 —變形例,係將施加於四個線圈1 1 8之高頻相位,作逐 個3 6 0/4 = 9 0度之移位,而滅少因位於對角線之線 圈所形成之電磁場彼此干擾之程度。於此一場合下也是, 如圓1所示,係於各線'圈之匹配髦路1 0 8與氰源1 1 2 之間,設置移相電路-1 1 4,將各線圈之相位移位。 又,根據圖6〜圖8之電極,係由單一之高頻《源獲 得電壓,但也可就各線圈設置電源。又,線圈之漩渦數或 形狀,可因應處理狀態作變更。 圖1 2〜1 4係第一及第二氣體供給頭6 2,8 2之 變形例。 圖1 2係供給被分解氣《之下側的第一頭之變形例的 底視圖。此一變更例之頭1 5 2,也是由與圖2所示之第 一頭6 2由相同之材料所形成。胲頭1 5 2,除了形成外 輪廓以外之管子框以外,係由只具有延伸於縱向之管子的 管格子1 5 6所構成。管格子1 5 6係較基板S之被班理 面域寬廣1 〇〜5 0%,以被覆其全《之狀態形成。構成 (請先Μ讀背面之注意事項再填寫本頁) 本紙张尺度邋用中國«家螵率{ CNS > A4规格(21 OX297公釐) 18 203983 A7 B7 五、發明説明(16 ) 管格子1 5 6之縱管子,係等問隔地配設。供給口1 5 4 係於管格子1 5 6之下面以與縱管子之間隔等間隔之方式. 形成,定向成朝正下方。是以,供給口 1 5 4在與載置台 2 2之支持面及基板S平行的平面內,在基板S之被處理 區域的整«作均等性之分佈。構成管格子1 5 6之管子外 徑.》係1〜5mm,供給口 1 5 4之口徑,係0 . 1mm 〜3 . 0 m m ° 圖1 3中所示的是,供給電漿化氣《之上側第二頭的 樊更例之底視圖。此一變更例之頭1 6 2 ,也是由與早先 所述之第二頭8 2相同的材料所形成。頭1 6 2 ,與圖2 所示之第一頭6 2,在實質上係由同一尺寸及同一構造所 形成。接嫌管8 6,對於管格子1 6 6,係在複數個部位 ,例如在對向之二對合計4個部位接縯。供給口1 6 4並 非如頭8 2般之在横側朝內,而是在管格子7 6之各交叉 點定向成朝正下方。 經濟部中央樣率局貝工消费合作杜印製 (請先閱讀背面之注意事項再填寫本頁) 圇1 4係用以供給電漿化氣《之上側第二頭的其他變 形例之底視圖。此一變形例之頭1 7 2,也是由與上述第 二頭8 2相同之材料所形成。頭1 7 2係由與圖1 2所示 之第一頭1 5 2在實質上相同之尺寸及構造所形成。接績 管8 6 ,相對管格子1 7 6 ,係在複數個部位,例如在對 向之二對合計4個部位接績。供給口1 7 4並非如頭8 2 般之在横側朝內,而是定向成朝正下方。 圖2 ,3 ,1 2 — 1 4所示之供給頭的形狀,係可依 被處理物之形狀而變更。例如對於半導雔晶片般之園形的 本紙張尺度遑用中家橾率(CNS )从规格(21〇X2〇7公釐) 19 經濟部中央樣隼局負工消费合作社印¾ A7 __B7______ 五、發明説明(17 ) 被處理物,可予形成爲外輪廓呈圆形狀。 此外,發明人等又實施使用圓2所示之由管格子7 6. 所構成的喷灑型頭6 2,測定由供給口 6 4所噴出之氣體 的流量分佈之實驗。 計篝之概辱 作爲計算對象之頭6 2 ,係以1/4英时(內徑 4. 2mm)之石英製管格子76狀組合構成。格子之各 交叉點,設有直徑D之供給口 6 4 ,在X方向1 = 7,在 Y方向J = 6共計IXJ = 4 2個。氣髏係由接績於接績 於4個部位之管子6 6所供給。 根據本計算,係想像在設定成壓力Pr,溫度Tr之 處理室1 6內,配設有頭6 2之狀態。 於解析中,係使用热流體解析软體'FUJENT',由於 難以定義困管之組合,將各園管視爲流路斷面稹之一邊爲 4mm的正四角柱。因此,流路斷面積爲實際之 1. 15倍大。此一流路斷面積之增加,在解析結果中必 須推敲。 又,由於'FLU ENT#無法將流入氣體之流置作爲埦界 條件規定,因此,將處理室內壓力P r與管子6 6和頭 6 2接嫌管之壓力P s的差ΔΡ = Ρ s -P r作爲境界條 件。 供給口 6 4與頭6 2整饞相比非常之小,因此,計算 格子數增多。因此,爲了減少計算之負荷,進行以下之棋 未紙張尺度遑用中國國家梯率(CNS )八4洗格(210X297公釐) (請先閏讀背面之注意事項再填寫本頁) 訂 -20 - A7 _;_B7_ 五、發明説明(18) 型化。 (i )由於頭6 2之形狀係上下及左右對稱,因此, 對於頭6 2整體之1/4進行解析。 (ii )在頭6 2之供給口 6 4使用多孔介質模型,產 生因應口徑之壓力效果。 於此,本計算中所使用之條件,係示於表3中。 (請先W讀背面之注$項再填寫本頁) 經濟部中央橾準局負工消费合作社印袈 本紙張尺度逍用中國《家輮奉(CNS ) A4*!#· ( 2丨0X297公釐) 21 - 228983_ 五、發明説明(19 ) A7 B7 [^3]
CASE D . (ram) p1(To r r) pr(Torr) Tr(°C ) 氣髏組成 1 0.5 1.2 0.2 250 20*SiH4+80%N 2 0.3 1.2 0.2 250 20^SiH4+80%N 3 0.3 2.2 0.2 250 20«SiH4+80%N 請 it 閱 讀 背 * 之 注 項 再 填 寫 本 頁 經濟部中央棣率局貝工消费合作社印製 本紙张尺度逋用中国國家檬準(CNS ) A4规格(210X297公釐) 22 A7 ________B7__ 五、發明说明(20 ) 結果及考察 對於各CASE的條件所獲得之流量分佈Gi j/ Gm,係示於圖1 5〜1 7中,胲時之總流量G及流量分 佈之均一性,係示於表4中。G i i係表示來自各供給口 6 4之流量,Gm = G/ I XJ係表示平均流量。 (請先閱讀背面之注$項再填寫本頁) 經濟部中央標準局負工消费合作社印製 本紙張尺度遑用中國國家棣率(CMS ) A4規格(2丨OX297公釐) A7 B7 五、發明説明(2丨) (表4 〕 CASE G ( s c c m ) 均等性(土 %) 1 137 25 2 66.5 8.8 3 130 5.8 (請先Μ讀背面之注意事項再填寫本頁) 鍾濟部中央揉率局貝工消費合作社印装 由CASE1與CASE2之比較可知,供給口6 4 之直徑D愈小,流置之均等性愈佳。由CAS E: 2# C AS E 3之比較可知,藉著將G增加》可使均等性更爲 提高,又,爲了提高流量分佈之均等性,D較g之貢獻爲 大.。亦即,爲了獲得均等之流置分佈,將D儘可能減小即 可。然將D縮小,卻會造成膜堆積以致供給口之位置轉印 至基板上。此一場合下,大概有必要採取將頭6 2與基板 S之間的距離增大等之對策。 圖1 8 ,1 9中所示的是,用以將線圈1 0 6 a, 1 0 6 b冷卻之機構的變形例。根據此一變形例,不具備 用以供給作爲冷媒之氮氣的嘖灑型頭1 2 2 ,代替的是, 在陶瓷製之台座1 8 2內,形成用以流過冷媒之流路 184。台座182係對應各線圈l〇6a,106b設 置一對,各自具有沿各嫌圈延伸之漩渦形狀。流路1 8 4 订 本紙浪尺度遑用中家橾率(CNS > 格(210X297公釐〉 24 - 經濟部中央橾準局負工消费合作杜印製 A7 B7五、發明说明(21 ) 係介以溫度調整部件1 8 6接績於冷媒源1 8 8,來自冷 媒源1 8 8之冷媒係在流路1 8 4內循環。作爲冷媒係可 使用N 2氣《般之氣髗或是市售之冷卻劑般之液髏。又, 上部室1 8之一端處,接績有用以導入經溫度調節之氣饈 的管線19,以調整其內懕。 圖2 0,2 1係本發明第二及’第三實施例之《漿 CVD裝置之要部圈。此等實施例,除以下所述之相異點 以外,係與圈1所示之第一實施裝置相同,因此,就共通 之部份僅加註符號,至於其詳細說明在此省略。 根據圓2 0所示之第二實施例裝置,供給電漿化氣髗 之第二頭8 2係經除去,該氣體係藉管子8 6直接供給至 分隔板1 4與第一頭6 2之間。 根據圖2 1所示之第三實施例裝置,管子8 6亦被移 除,處理氣體全部係由第一頭6 2所供給。線圈1 0 6 a ,1 0 6 b,與第一頭6 2之間的距離,係設定成較第一 及第二實施例小出甚多。由頭6 2所供給之氣體,係受到 由線圈1 0 6 a,1 0 6 b所形成之電磁場的影響而直接 電漿化。又,於第三實施例中,代替具有開口 7 8之格子 狀頭6 2 ,也可使用完全不具有開口 7 8之頭。其原因是 ,開口 7 8係如第一及第二實施例所示,其主要目的係在 第一頭6 2之上方生成電漿,並使由該處拉出之離子,電 子通過。 其次,茲就具有本發明電漿處理裝置之組狀設備型處 理系統,佐以圖2 2進行說明。 本紙张尺度邋用中國家樣率(CNS ) A4*t格(210X297公釐) (请先《讀背面之注意事項再填寫本頁) -25 - A7 B7 經濟部中央搮準局ec工消费合作社印«. 五、 發明説明 (23 ) | 本 系 統 具有 氣 密 構造 之 共 通 搬 送 室 2 1 0 Ο 共 通 搬 送 1 1 室 2 1 0 之 內部 9 例 如 係維持於 眞 空 壓 力 η X 1 0 -3 1 1 T 0 r Γ η X 1 0 -2 T 0 r r 之 壓 力 0 共 通 搬 送 室 1 I 2 1 0 內 > 配設 有 藉 由 圖 未 示 之 靜 電 吸 盤 ( 圖 未 示 ) $ 將 請 it 閱 1 1 I 被 處 理 基 板 保持 及 搬 送 之 第 一 搬 送 臂 2 1 2 0 η 背 1 1 共 通 搬 送臂 2 1 0 之 三 個 側 壁 上 > 分 別 接 績 有庇 理 裝 之 注 意 \ 1 置 2 2 0 > 2 2 2 $ 2 2 4 之 氣 密 容 器 2 2 1 t 2 2 3 9 1 項 \ 1 再 I • 2 2 5 0 搬 送室 2 1 0 與 各 裝 置 之 容 器 2 2 1 > 2 2 3 填 寫 本 >v^ | 2 2 5 之 間 ,分 別 配 設 有 閘 閥 2 1 4 2 1 6 9 2 1 8 9 育 1 I 搬 送 室 2 1 0與 各 裝 置 之 容 器 2 2 1 2 2 3 2 2 5 9 1 I 係 可 選 擇 性 地連 通 〇 閘 閥 2 1 4 2 1 6 9 2 1 8 係被控 1 1 | •制 成 一 次 只 能開 放 — 個 9 而 處 理 裝 置 2 2 0 > 2 2 2 9 1 訂 2 2 4 係 被 形成 爲 不 會 介 以 搬 送 室 而 相 互 影 響 0 1 1 處 理 裝 置2 2 0 9 2 2 2 $ 2 2 4 中 之 至 少 — 個 » 在 1 1 本 系 統 中 係 完全 由 本 發 明 電 漿 處 理 裝 置 所 構 成 〇 處 理 裝 置 1 1 2 2 0 2 2 2 9 2 2 4 之 各 中 央 部 9 模 式 性 地 配 設有 感 1 應 電 極 2 2 6 , 2 2 8 i 2 3 0 9 例 如 配 設 有 — 對 平 面 狀 1 1 之 漩 渦 型 線 圈。 1 共 通 搬 送室 2 1 0 之 第 四 側 壁 上 f 係 介 以 閘 閥 2 3 2 1 1 接 縝 有 預 備 ft空 室 2 3 4 0 此 — 預 備 眞 空 室 2 3 4 f 係 用 1 1 於 在處 理 之 前, 將 基 板 預 熱 至 — 定 之 溫 度 爲 止 f 或 是 將 因 1 I 處 理 而 加 热 之基 板 9 在 收 納 於 匣 體 所 載置 於 一 定場 所 之 前 1 1 » 先 予 冷 卻 至一 定 溫 度 0 m 備 眞 空 室 2 3 4 與 複 數 了 處 理 1 1 | 裝 置 2 2 0 ,2 2 2 1 2 2 4 之 閫 的 基 板 之 搬 送 , 係 藉 共 1 1 1 紙 本 準 橾 家 V B 中 用 遑 釐 公 7 29 經濟部中央揉率扃Λ工消费合作社印製 A7 ____B7 _五、發明説明(2牛) 通搬送室210內所配投之搬送臂212所執行。 預備眞空室2 3 4內,係被維持於一定之眞空壓力, 例如係被維持於nX 1 0_3T〇 r r〜η X 1 0_2 To r r之壓力。作爲預熱部件,係使用內繭於基板載置 台內之電阻發熱髏,或是將被處理基板直接加热之燈具。 作爲冷卻部件,係可使用將冷媒,例如市售之冷卻劑或液 態氮在基板載B台之內部循環的管子。爲了提高眞空中之 熱傳達性,H e等之熱傳達氣體,係被供給於基板與載置 台之間。也可多段地設置預備部件及冷卻部件,而對於複 數片之基板分別獨立地同時進行加热及冷卻。 與共通搬送室2 1 0對向處,預備眞空室2 3 4上, 介以閛閥2 3 6接縯有第二搬送室2 3 8。第二搬送室 2 3 8內,配設有第二搬送臂2 4 0。與預備眞空室 2 3 4對向處,第二搬送室2 3 8上介以閘閥2 4 2接嫌 有匣體室2 4 4。匣體室2 4 4內,配設有至少載置一個 ffi«2 4'6之載置部(圖未示),該匣體2 4 6係可供收 納複數片,例如2 5片之被處理基板。與第二搬送室 2 3 8對向,匣體室2 4 4.之側壁上,配設有閘閥2 4 8 ,可經由其與處理系統之外部連通。 其次,茲就上述處理系統之操作進行說明。 被處理基板係在收納於匣體2 4 6之狀態下,由其他 之半導髗處理系統藉由機器人(ACV)等之自動搬送系 統,搬送至上述處理系統。匣體2 4 6係介以閛閥2 4 8 載置於匣體室2 4 4內之一定位置。 (請先《讀背面之注意事項再填寫本莧) 订 本紙張尺度遑用中國國家梯準(CNS ) A4規格(2丨0X297公釐} 27 - 經濟部中央揉率局負工消费合作社印«. A7 _____B7_五、發明说明(2灰) 而後,閛閥2 4 8關閉,而匣饅室2 4 4內係由情性 氣餿,例如係由N 2所取代。其次,閘閥2 4 2開啓,藉. 由鄰室之第二搬送室2 3 8內的第二搬送臂2 4 0 ,匣« 室2 4 6內之基板係一片一片地搬出,並被搬送至預備眞 空室2 3 4內之預熱部。 組之,閘閥2 3 6關閉,預備眞空室2 3 4內係被排 氣至一定應力爲止。在基板預熱終了後,閘閥2 3 2開啓 ,而搬送臂2 1 2係承接預備眞空室2 3 4內之基板,將 其搬送至共通搬送室2 1 0內。而後,閛閥2 3 2關閉。 其次,基板係由共通搬送室2 1 2藉由搬送臂2 1 2 搬入至經選擇之處理裝置220,222,224,經實 施一定之處理。所有處理均終了之基板,係經由與上述相 反之過程搬送至匣髏室2 4 4內之匣體2 4 6內收納。 處理裝置2 2 0,2 2 2,2 2 4,作爲相同之處理 內容,係對於褀數個被處理基板,並行地執行相同之處理 。處理裝置2 2 0,2 2 2,2 2 4,作爲不同之處理內 容,係對於相同之被處理基板依序直列狀地執行不同之處 理。 作爲直列處理之例,係在康寧公司製之玻璃基板上依 序形成a — Si膜(非晶性矽膜),3丨>^膜(氮化矽 膜),n+型a—Si膜等之三層,而製造薄膜型電晶體 之過程。藉著在用以實施此一過程之電漿C V D系統之各 CVD裝置中,使用佐以圖1〜21脫明之本發明裝置, 可對廣大面積之被處理基板,施予均等性之成膜處理。 本紙張尺度逡内中困國家嫖率(CNS ) A4规格(210X297公釐} (請先Μ讀背面之注意事項再填寫本頁) 订 A7 B7 鐘濟部中央橾準局Λ工消费合作社印¾ 五、 發明説明 (26 ) 然 而 作 «BfiS Μ 處 理 裝 置 2 2 0 , 2 2 2 1 2 2 4 $ 可 因 1 1 應 處 理 內 容使 用 平 行 平 板 型 電 漿 處 理 裝 置 1 或 热 C V D 裝. 1 I 置 , E C R 型 電 漿 處 理 裝 置 , 嘖 濺 裝 置 9 灰 化 裝 置 t 蝕 刻 1 I 裝 S 等 0 請 先 1 1 閏 | 上 述 策 Pf9 — 第 三 實 施 例 之 電 漿處 理 裝 置 係 以 C V D 讀 背 1 裝 ft «CSV j^l 例 說 明 〇 然 而 9 本 發 明 之 思 想 9 與 可 適 用 於 C V D 之 注 告 I 1 裝 置 相 同 9 同 樣 亦 可 逋 用 於 氰 漿 蝕 刻 裝 置 9 電 漿 灰 化 裝 置 1 項 \ I 再 1 > 電 漿 嘖 濺 裝 ft 等 等 0 根 據 本 發 明 9 於 此 種 « 漿處 理 中 9 填 % 本 a 可 使 對 於 被 處 理 基 板 之 電 漿 的 產 生 均 等 化 9 可 提 高 對 於 同 頁 1 I 一 基 板 之 處 理 的 面 內 均 等 性 〇 1 1 1 I C 圖 面 之 簡 單 說 明 ) 1 1 订 圖 1 係 本 發 明 第 — 實 施 例 « 漿 C V D 裝 置 之 斷 面 圖 0 1 1 圖 2 係 圖 1 裝 置 之 第 一 供 給 頭 之 底 視 圖 0 1 1 圖 3 係 圖 1 裝 置 之 第 二 供 給 頭 之 底 視 圖 0 1 1 圖 4 係 m 1 裝 置 之 上 部 室 的 詳 <tm TO 檐 成 之 斷 面 圖 0 圈 5 係 圇 1 裝 置 之 感 應 電 極 的 線 um B8 與 X*. 座 的 關 係 之 透 1 1 視 圖 0 1 OD m 6 係 圖 1 裝 置 之 感 應 電 極 之 槪 略 平 面 圖 0 1 1 圖 7 9 係 感 應 電 極 之 各 變 形 例 之 概 略 平 面 圖 0 1 1 圖 1 0 係 圇 1 裝 置 中 形 成 之 膜 的 膜厚 分 佈 圇 0 1 I 圖 1 1 係 習 用 裝 置 中 形 成 之 膜 的 膜 厚 分 佈 圈 〇 1 圖 1 2 係 第 — 供 給 頭 之 變 形 例 之 底 視 圖 〇 1 1 | 圓 1 3 9 1 4 係 第 二 供 铪 頭 之 各 變 形 例 之 底 視 圖 〇 1 1 1 本紙伕尺度通用中國國家樣準(CNS ) A4*t樁(210X297公釐) d - ^03963 at _ _B7_'_ 五、發明説明(27 ) 圖15〜17係由圓2所示之第一供給頭的供給口所 嘖出之氣體的流置分佈圇。 圖18係上部室之變形例之断面圖。 圖19係圖18變形例之感應電極的線圈與台座之關 係之透視圈。 圖2 0 ,2 1分別係本發明第二及第三實施例之電漿 CVD裝置之斷面圓。 圇2 2係具有本發明電漿處理裝置之組狀設備型處理 系統之斷面圖。 I* n II I h - η - ( I - - HI _ _ HI _ (請先閱讀背面之注意事項再填寫本頁) 經濟部中央橾準局負工消費合作社印装 本紙張尺度遑用中國國家搞準(CNS ) A4*L格(2丨0X297公釐)

Claims (1)

  1. ABCD ~、申請專利範圍 1 · 一種電漿處理裝匿,係對於基板之被處理區域, 使用電漿進行處理之裝置,具有: (請先聞讀背面之注意事項再填寫本頁) 一界定氣密性處理室之外般> 此外般具有由介霣«所 構成之窗板: 一用以將上述處理室排氣,並將上述處理室內設定成 眞空之排氣系統: 一配置於上述處理室內,具有與上述窗板對向的支持 面之載置台,上述基板係以其被處理瓸域與上述窗板對向 之方式載置於上述支持面上: 一用以在上述窗板與支持面上之基板之閬,供給處理 氣體(不只爲反應性氣體,尙包括惰性氣體)之主供給系 統,上述處理氣體之至少一部份,係轉化成上述電漿: 一在上述窗板與支持面上之間,用以形成促進轉化成 電漿之作用的電磁場之感應電極,上述感應m極具有在上 述處理室外之配設成與上述窗板對向之複數個導電性線圈 :及 一用以對上述線圈施加高頻《壓之電源部; 經濟部中央梯準扃貝工消费合作社印轚 上述施加於線圈之高頻電壓,在鄰接之線圈的鄰接部 份,其電流之方向一致。 2 .如申請專利範園第1項所述之電漿處理裝置,進 而具有:與上述處理室鄰接而設之包園上述線圈之氣密性 輔助室,用以冷卻上述線圈之冷卻機構,以及用以將上述 處理室及輔助室之差壓,維持於一定値以下之壓力調整機 構者。 表纸張尺度逋用中國國家榣準(CNS)A4規•格(2丨ox兮7公釐) 經濟部中央標準局-C工消费合作社印装 六、申請專利範圍 3.如申請專利範圍第2項所述之電漿處理裝置,其 中該壓力調整機構,具有將氣髏供給於上述輔助室之供給 *»· 系統,將上述輔助室排氣並將上述辅助室內設定成眞空之 排氣系統,以及因應上述處理室內之壓力將上述輔助室的 壓力調整之控制器者。 4 ·如申請專利範圍第3項所述之《漿處理裝置,其 中該供給至上述輔助室內之上述氣體係冷媒,其又兼作爲 上述冷卻機構之一部份者。 5 .如申請專利範圍第1項所述之電漿處理裝置,其 中胲線圈係介以台座配置於上述窗板上者。 6. 如申請專利範園第5項所述之裝置,其中該台座 內形成有用以循環冷媒之流路者。 7. 如申請專利範圍第1項所述之裝置,其中該主供 給系統具備一第一供給部件,該第一供給部件具有由配設 於上述窗板與支持面之間的介電髏所構成之第一供給頭: 上述第一供給頭,具備在上述支持面上之上述基板的被處 理區域之整«上作實質上均等性分佈而設之複數個氣體供 給口,且該供給口供給上述處理氣體之至少一部份者。 8. 如申請專利範園第7項所述之電漿處理裝置,其 中該主供給系統進而具有配設於上述窗板與第一供給部件 間之第二供給部件:上述處理氣體具備由上述第一及第二 供給部件分別供給口之第一及第二氣髗,上述第二氣髗係 轉化成上述電漿,上述第一氣體係由上述《漿所激發分解 者。 :---:—^— (請先Μ讀背面之注意事項再填寫本頁) 订 本紙張尺度逋用中國國家楹準(CNS ) A4*t格(210X297公釐) -32 - B8 C8 D8 W3983 六、申請專利範圍 9 .如申猜專利範圍第8項所述之電漿處理裝置,其 中該裝置係在上述基板之被處理區域上形成膜之電漿 C V D裝置,藉由上述第一氣簠之分解提供上述膜材料者 -----^---Μ--%------1Τ (請先Μ讀背面之注意事項再填寫本頁) 0 10. 如申猜專利範圍第8項所述之電漿處理裝置, 其中該第一供給頭係由組裝有用以流過上述第一氣體之管 子元件的格子所構成者。 11. 如申讅專利範園第10項所述之電漿處理裝置 ,進而具有內藏於上述載置台之下部電極,以及對於上述 下部電極賦與高頻電位之電源者。 12. 如申腈專利範圍第1〇項所述之電漿處理裝置 ,其中該第二供給部件,具有由組裝有供流過上述第二氣 體之管子元件的無端框體所構成之第二供給頭:供給上述 第二氣體之複數個供給口,係形成於上述第二供給頭者。 13. —種《漿處理裝置,係對於基板之被處理區域 ,使用電漿進行處理之裝置,具有: 經濟部中央棣準局員工消费合作社印製 一界定氣密性處理室之外殻,此外殻具有由介電體所 檐成之窗板: —用以將上述處理室排氣,並將上述處理室內設定成 眞空之排氣系統: 一配置於上述處理室內,具有與上述窗板對向的支持 面之載置台,上述基板係以其被處理區域與上述窗板對向 之方式載置於上述支持面上: 一用以在上述窗板與支持面上之基板之間,供給處理 各紙張尺度適用中國·家揉準(CNS ) A4洗格(210X297公釐) -33 - 六、申請專利範園 氣髖之主供給系統,上述處理氣髏之至少一部份,係轉化 成上述電漿; 一在上述窗板與支持面上之閬,用以形成促進轉化成 氰漿之作用的電磁壜之感應電極,上述感應電極具有在上 述處理室外之配設成與上述窗板對向之複數個導電性線圈 :及 一用以對上述線圈施加高頻電壓之電源部,該《源部 爲了減少由上述線圈所形成之諸電磁場成份的干擾,係將 施加於上述線圈之上述高頻電壓的相位設定成不同者。 14. 如申腈專 利範園第13項所述之氰漿處理裝 置,其中感應電極係由對應正三角之頂點而設之三個上述 線圈所構成,施加於上述線圈之高頻電應之相位,係逐個 作1 2 0度之移位者。 15. 如申請專利範園第13項所述之《漿處理裝置 ,其中胲感應電極係由對應四角形之頂點而設之四個上述 線圈所構成,施加於上述線圈之高頻電懕之相位,係逐個 作9 0度之移位者。 經濟部中央樣準局貝工消费合作社印*. (請先《讀背面之注意事項再填客本頁) 16. 如申請專利範圍第13項所述之電漿處理裝置 ,進而具有鄰接上述處理室而設之將上述線圈包圍之氣密 性辅助室,用以將上述線圈冷卻之冷卻機構,以及用以將 上述處理室與輔助室之差壓,維持於一定値以下之壓力調 整機構者。 本纸張尺度適Λ中國·家標準(CNS ) Λ4规格(210X297公釐)
TW083111896A 1993-12-17 1994-12-16 TW293983B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP34387193 1993-12-17
JP7672794 1994-03-23
JP07671794A JP3150027B2 (ja) 1993-12-17 1994-03-23 プラズマ発生装置及びこのプラズマ発生装置を用いたプラズマ処理装置

Publications (1)

Publication Number Publication Date
TW293983B true TW293983B (zh) 1996-12-21

Family

ID=27302230

Family Applications (2)

Application Number Title Priority Date Filing Date
TW083111896A TW293983B (zh) 1993-12-17 1994-12-16
TW085100040A TW296534B (zh) 1993-12-17 1994-12-16

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW085100040A TW296534B (zh) 1993-12-17 1994-12-16

Country Status (3)

Country Link
US (3) US5525159A (zh)
KR (2) KR100272189B1 (zh)
TW (2) TW293983B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI670747B (zh) * 2014-02-27 2019-09-01 日商東京威力科創股份有限公司 高頻電漿處理裝置及高頻電漿處理方法

Families Citing this family (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW293983B (zh) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
JP3105403B2 (ja) * 1994-09-14 2000-10-30 松下電器産業株式会社 プラズマ処理装置
JP3426382B2 (ja) * 1995-01-24 2003-07-14 アネルバ株式会社 プラズマ処理装置
US5683539A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Inductively coupled RF plasma reactor with floating coil antenna for reduced capacitive coupling
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW286414B (en) * 1995-07-10 1996-09-21 Watkins Johnson Co Electrostatic chuck assembly
US5731565A (en) * 1995-07-27 1998-03-24 Lam Research Corporation Segmented coil for generating plasma in plasma processing equipment
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
KR100290813B1 (ko) * 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치
US5847918A (en) * 1995-09-29 1998-12-08 Lam Research Corporation Electrostatic clamping method and apparatus for dielectric workpieces in vacuum processors
US6181555B1 (en) 1995-09-29 2001-01-30 Intel Corporation Cooling system for integrated circuit chips in a portable computer
US5670218A (en) * 1995-10-04 1997-09-23 Hyundai Electronics Industries Co., Ltd. Method for forming ferroelectric thin film and apparatus therefor
MY118033A (en) * 1995-11-16 2004-08-30 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus.
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
JPH09172001A (ja) * 1995-12-15 1997-06-30 Sony Corp 半導体製造装置の温度制御方法および装置
KR100476039B1 (ko) * 1996-03-18 2005-07-11 비오이 하이디스 테크놀로지 주식회사 유도결합형 플라즈마 cvd 장치
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5759280A (en) * 1996-06-10 1998-06-02 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux
US6268700B1 (en) 1996-06-10 2001-07-31 Lam Research Corporation Vacuum plasma processor having coil with intermediate portion coupling lower magnetic flux density to plasma than center and peripheral portions of the coil
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
AU3145197A (en) 1996-06-28 1998-01-21 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US5900105A (en) * 1996-07-09 1999-05-04 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
JP2929275B2 (ja) * 1996-10-16 1999-08-03 株式会社アドテック 透磁コアを有する誘導結合型−平面状プラズマの発生装置
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
JP4356117B2 (ja) * 1997-01-29 2009-11-04 財団法人国際科学振興財団 プラズマ装置
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
JPH10270430A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
JP3343200B2 (ja) * 1997-05-20 2002-11-11 東京エレクトロン株式会社 プラズマ処理装置
JP3164019B2 (ja) * 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
GB2343550A (en) 1997-07-29 2000-05-10 Silicon Genesis Corp Cluster tool method and apparatus using plasma immersion ion implantation
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6113735A (en) * 1998-03-02 2000-09-05 Silicon Genesis Corporation Distributed system and code for control and automation of plasma immersion ion implanter
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
US5980686A (en) * 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6232248B1 (en) * 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
CN1156603C (zh) * 1998-12-30 2004-07-07 东京电子株式会社 大面积等离子体源
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6153849A (en) * 1999-01-29 2000-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for preventing etch rate drop after machine idle in plasma etch chamber
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
KR100416308B1 (ko) * 1999-05-26 2004-01-31 동경 엘렉트론 주식회사 플라즈마 처리 장치
US6315833B1 (en) * 1999-07-01 2001-11-13 Applied Materials, Inc. Silicon carbide sleeve for substrate support assembly
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP3374796B2 (ja) * 1999-08-06 2003-02-10 松下電器産業株式会社 プラズマ処理方法及び装置
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
FI118474B (fi) 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
KR100367662B1 (ko) * 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
ES2336303T3 (es) * 2000-05-17 2010-04-12 Ihi Corporation Aparato y procedimiento de cvd por plasma.
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
JP4666740B2 (ja) * 2000-10-06 2011-04-06 川崎マイクロエレクトロニクス株式会社 半導体製造装置、被処理基板表面の処理方法およびプラズマ生成物の付着状態の観察方法
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
WO2002061179A1 (en) * 2001-01-19 2002-08-08 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
JP4770029B2 (ja) * 2001-01-22 2011-09-07 株式会社Ihi プラズマcvd装置及び太陽電池の製造方法
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
JP2002299331A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
US7571697B2 (en) * 2001-09-14 2009-08-11 Lam Research Corporation Plasma processor coil
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
CN100342496C (zh) * 2001-10-18 2007-10-10 卞喆洙 能防止污染并提高膜生长速率的化学气相沉积方法和设备
US20030087488A1 (en) * 2001-11-07 2003-05-08 Tokyo Electron Limited Inductively coupled plasma source for improved process uniformity
US20040250763A1 (en) * 2002-01-11 2004-12-16 Ovshinsky Stanford R. Fountain cathode for large area plasma deposition
KR100470999B1 (ko) * 2002-11-18 2005-03-11 삼성전자주식회사 유도 결합 플라즈마 식각장치의 챔버구조
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
JP2006521462A (ja) * 2002-12-18 2006-09-21 日本板硝子株式会社 プラズマ増強膜堆積
US20040200418A1 (en) * 2003-01-03 2004-10-14 Klaus Hartig Plasma spray systems and methods of uniformly coating rotary cylindrical targets
US6825617B2 (en) * 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
CN101068950A (zh) * 2003-05-30 2007-11-07 阿维扎技术公司 气体分配系统
CN100513632C (zh) * 2003-06-02 2009-07-15 株式会社新柯隆 薄膜形成装置
US20070110644A1 (en) * 2003-12-03 2007-05-17 Yasuhiko Kasama System for manufacturing a fullerene derivative and method for manufacturing
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
KR100599092B1 (ko) * 2004-11-29 2006-07-12 삼성전자주식회사 구동 주파수 조절에 의한 전자기유도 가속장치
US7886687B2 (en) * 2004-12-23 2011-02-15 Advanced Display Process Engineering Co. Ltd. Plasma processing apparatus
JP4781711B2 (ja) * 2005-05-12 2011-09-28 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20060278164A1 (en) * 2005-06-10 2006-12-14 Petrach Philip M Dual gate isolating maintenance slit valve chamber with pumping option
KR20080034157A (ko) * 2005-07-29 2008-04-18 에비자 테크놀로지, 인크. 가스 매니폴드 밸브 클러스터
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
JP2007158161A (ja) * 2005-12-07 2007-06-21 Elpida Memory Inc ウエハ洗浄装置及びウエハ洗浄方法
JP5068458B2 (ja) * 2006-01-18 2012-11-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US8058585B2 (en) * 2006-03-13 2011-11-15 Tokyo Electron Limited Plasma processing method, plasma processing apparatus and storage medium
US8034213B2 (en) * 2006-03-30 2011-10-11 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP4904202B2 (ja) * 2006-05-22 2012-03-28 ジーイーエヌ カンパニー リミッテッド プラズマ反応器
JP2008047588A (ja) * 2006-08-11 2008-02-28 Matsushita Electric Ind Co Ltd 基板処理装置および基板処理方法
JP2008091750A (ja) * 2006-10-04 2008-04-17 Hitachi Kokusai Electric Inc アッシング装置
JP5252613B2 (ja) * 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
KR100963287B1 (ko) * 2008-02-22 2010-06-11 주식회사 유진테크 기판처리장치 및 기판처리방법
JP5520455B2 (ja) * 2008-06-11 2014-06-11 東京エレクトロン株式会社 プラズマ処理装置
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
KR20100006009A (ko) * 2008-07-08 2010-01-18 주성엔지니어링(주) 반도체 제조 장치
US8168268B2 (en) * 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
JP5296468B2 (ja) * 2008-09-19 2013-09-25 富士フイルム株式会社 成膜方法及び成膜装置
JP5410950B2 (ja) * 2009-01-15 2014-02-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5572329B2 (ja) * 2009-01-15 2014-08-13 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ生成装置
WO2010116809A1 (ja) * 2009-04-07 2010-10-14 Anbe Yoshinobu X線検査用加熱装置
US9305750B2 (en) * 2009-06-12 2016-04-05 Lam Research Corporation Adjusting current ratios in inductively coupled plasma processing systems
JP5745519B2 (ja) 2009-08-31 2015-07-08 ラム リサーチ コーポレーションLam Research Corporation 高周波(rf)接地帰還構成
KR101094279B1 (ko) * 2009-11-06 2011-12-19 삼성모바일디스플레이주식회사 가열 수단 및 이를 포함하는 기판 가공 장치
JP5462368B2 (ja) * 2010-09-06 2014-04-02 株式会社イー・エム・ディー プラズマ処理装置
KR101570277B1 (ko) * 2010-09-10 2015-11-18 가부시키가이샤 이엠디 플라스마 처리장치
TWI418264B (zh) * 2010-12-09 2013-12-01 Ind Tech Res Inst 電漿裝置
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
US9293353B2 (en) 2011-04-28 2016-03-22 Lam Research Corporation Faraday shield having plasma density decoupling structure between TCP coil zones
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
US9966236B2 (en) 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US9978565B2 (en) 2011-10-07 2018-05-22 Lam Research Corporation Systems for cooling RF heated chamber components
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
TWI469179B (zh) * 2012-11-27 2015-01-11 Ind Tech Res Inst 電漿裝置
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9029267B2 (en) 2013-05-16 2015-05-12 Lam Research Corporation Controlling temperature of a faraday shield
US9885493B2 (en) 2013-07-17 2018-02-06 Lam Research Corporation Air cooled faraday shield and methods for using the same
JP6043968B2 (ja) 2013-10-30 2016-12-14 パナソニックIpマネジメント株式会社 プラズマ処理方法並びに電子デバイスの製造方法
WO2015145663A1 (ja) * 2014-03-27 2015-10-01 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US10249475B2 (en) * 2014-04-01 2019-04-02 Applied Materials, Inc. Cooling mechanism utlized in a plasma reactor with enhanced temperature regulation
KR102262657B1 (ko) 2014-10-13 2021-06-08 삼성전자주식회사 플라즈마 처리 장치
JP6542053B2 (ja) * 2015-07-15 2019-07-10 株式会社東芝 プラズマ電極構造、およびプラズマ誘起流発生装置
US10971333B2 (en) * 2016-10-24 2021-04-06 Samsung Electronics Co., Ltd. Antennas, circuits for generating plasma, plasma processing apparatus, and methods of manufacturing semiconductor devices using the same
KR102630343B1 (ko) * 2017-08-03 2024-01-30 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
KR20180072917A (ko) * 2016-12-21 2018-07-02 삼성전자주식회사 유전체 윈도우, 그를 포함하는 플라즈마 장치, 및 그의 제조 방법
US20180308661A1 (en) * 2017-04-24 2018-10-25 Applied Materials, Inc. Plasma reactor with electrode filaments
WO2019053806A1 (ja) * 2017-09-13 2019-03-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
CN111699542B (zh) 2017-11-29 2023-05-16 康姆艾德技术美国分公司 用于阻抗匹配网络控制的重新调谐
KR102510329B1 (ko) * 2018-06-25 2023-03-17 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 활성 가스 생성 장치 및 성막 처리 장치
JP7134863B2 (ja) * 2018-12-27 2022-09-12 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP7461965B2 (ja) * 2019-04-08 2024-04-04 ラム リサーチ コーポレーション プラズマ系反応器のための冷却
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
WO2021041984A1 (en) 2019-08-28 2021-03-04 COMET Technologies USA, Inc. High power low frequency coils
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US12027351B2 (en) 2020-01-10 2024-07-02 COMET Technologies USA, Inc. Plasma non-uniformity detection
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
US12057296B2 (en) 2021-02-22 2024-08-06 COMET Technologies USA, Inc. Electromagnetic field sensing device
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US12040139B2 (en) 2022-05-09 2024-07-16 COMET Technologies USA, Inc. Variable capacitor with linear impedance and high voltage breakdown
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
US12051549B2 (en) 2022-08-02 2024-07-30 COMET Technologies USA, Inc. Coaxial variable capacitor

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59166238A (ja) * 1983-03-10 1984-09-19 Toshiba Corp 薄膜形成装置
JPS60103626A (ja) * 1983-11-11 1985-06-07 Hitachi Ltd プラズマ陽極酸化装置
US4563367A (en) * 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
JPH0830273B2 (ja) * 1986-07-10 1996-03-27 株式会社東芝 薄膜形成方法及び装置
DE3807040A1 (de) * 1988-03-04 1989-09-14 Roehm Gmbh Verfahren zum umformen von tafeln und rohren aus kunststoff
EP0379828B1 (en) * 1989-01-25 1995-09-27 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5167717A (en) * 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
JP2635267B2 (ja) * 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
JP3221025B2 (ja) * 1991-12-19 2001-10-22 ソニー株式会社 プラズマプロセス装置
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
CH686253A5 (de) * 1992-08-28 1996-02-15 Balzers Hochvakuum Verfahren zur Regelung des Reaktionsgrades sowie Beschichtungsanlage.
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5494522A (en) * 1993-03-17 1996-02-27 Tokyo Electron Limited Plasma process system and method
US5619103A (en) * 1993-11-02 1997-04-08 Wisconsin Alumni Research Foundation Inductively coupled plasma generating devices
TW293983B (zh) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI670747B (zh) * 2014-02-27 2019-09-01 日商東京威力科創股份有限公司 高頻電漿處理裝置及高頻電漿處理方法

Also Published As

Publication number Publication date
TW296534B (zh) 1997-01-21
KR100283853B1 (ko) 2001-02-15
US5792261A (en) 1998-08-11
KR100272189B1 (ko) 2000-12-01
USRE39020E1 (en) 2006-03-21
US5525159A (en) 1996-06-11
KR950021176A (ko) 1995-07-26

Similar Documents

Publication Publication Date Title
TW293983B (zh)
US9373499B2 (en) Batch-type remote plasma processing apparatus
US5772770A (en) Substrate processing apparatus
TWI376763B (en) Asymmetric grounding of rectangular susceptor
US5783492A (en) Plasma processing method, plasma processing apparatus, and plasma generating apparatus
KR101893360B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US6402848B1 (en) Single-substrate-treating apparatus for semiconductor processing system
JP3338884B2 (ja) 半導体処理装置
KR20110059797A (ko) 플라즈마 처리 장치
TW201519314A (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
JPS60115226A (ja) 試料の温度制御方法及び装置
JPS61232613A (ja) プラズマ気相反応装置
JP2003234338A (ja) 誘導結合プラズマ処理装置
TW201836438A (zh) 電漿處理裝置及噴頭
JP3150027B2 (ja) プラズマ発生装置及びこのプラズマ発生装置を用いたプラズマ処理装置
JPH02119131A (ja) 試料の温度制御方法及び装置
JPH02110925A (ja) 真空処理装置
TW436871B (en) Plasma processing device
KR101253751B1 (ko) 기판처리장치
KR100275918B1 (ko) 기판처리장치
KR101204270B1 (ko) 기판처리장치
JPH0732127B2 (ja) プラズマ気相反応装置
JPH07263364A (ja) プラズマ気相反応方法
JPH11288891A (ja) Cvd装置
JPH11100675A (ja) 気相成長方法

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent