KR100476039B1 - 유도결합형 플라즈마 cvd 장치 - Google Patents

유도결합형 플라즈마 cvd 장치 Download PDF

Info

Publication number
KR100476039B1
KR100476039B1 KR1019970009217A KR19970009217A KR100476039B1 KR 100476039 B1 KR100476039 B1 KR 100476039B1 KR 1019970009217 A KR1019970009217 A KR 1019970009217A KR 19970009217 A KR19970009217 A KR 19970009217A KR 100476039 B1 KR100476039 B1 KR 100476039B1
Authority
KR
South Korea
Prior art keywords
reaction chamber
inductively coupled
coupled plasma
plasma cvd
cvd apparatus
Prior art date
Application number
KR1019970009217A
Other languages
English (en)
Other versions
KR970067610A (ko
Inventor
진 장
김재각
조세일
Original Assignee
비오이 하이디스 테크놀로지 주식회사
진 장
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 비오이 하이디스 테크놀로지 주식회사, 진 장 filed Critical 비오이 하이디스 테크놀로지 주식회사
Publication of KR970067610A publication Critical patent/KR970067610A/ko
Application granted granted Critical
Publication of KR100476039B1 publication Critical patent/KR100476039B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66765Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78663Amorphous silicon transistors
    • H01L29/78669Amorphous silicon transistors with inverted-type structure, e.g. with bottom gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78678Polycrystalline or microcrystalline silicon transistor with inverted-type structure, e.g. with bottom gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/914Differential etching apparatus including particular materials of construction

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Thin Film Transistor (AREA)

Abstract

본 발명은 적어도 챔버의 일부분을 이루는 유전체창으로부터의 불순물의 오염을 방지할 수 있는 유도결합형 플라즈마 화학기상증착 장치를 제공하는 것을 목적으로 한다. 유도결합형 플라즈마 화학기상증착 장치는 적어도 일부분이 유전체창으로 둘러싸인 내부공간을 갖는 반응챔버로서, 상기 유전체창의 반응챔버내측의 표면에 산소를 포함하지 않는 실리콘층을 갖는 반응챔버, 반응챔버의 내부공간으로 공정가스를 도입하는 수단; 상기 반응챔버밖의 상기 유전체창에 인접하여 놓여있는 고주파인가가 가능한 안테나; 상기 안테나에 고주파전원을 커플링하기 위한 수단; 상기 반응챔버의 내부공간에 있는 피가공물을 가열하기 위하여 설치된 스테이지; 및 상기 반응챔버의 내부공간을 배기하기 위한 배기수단으로 이루어진다. 산소를 포함하지 않는 실리콘층은 비정질 실리콘층, 질화 실리콘층, 탄화 실리콘층을 포함한다.

Description

유도결합형 플라즈마 CVD 장치
본 발명은 유도결합형 플라즈마 화학기상증착 장치를 관한 것으로, 보다 상세하게는 적어도 챔버의 일부분을 이루는 유도체창으로의 불순물의 오염을 방지할 수 있는 유도결합형 플라즈마 화학기상증착장치에 관한 것이다.
일본국 특허 공개 공보( 특허출원 평7-60704)은 박막을 형성할 수 있는유도결합형 플라즈마 CVD 장치를 개시하고 있다. 이 공보에 기재된 종래의 유도결합형 플라즈마 CVD 장치는, 고주파인가가 가능한 링형상의 안테나, 이 안테나에 접하여 설치된 유전체창, 이 유전체창을 가지며 동시에 기밀을 유지할 수 있는 챔버, 이 챔버내부에 있는 피가공물을 가열하기 위하여 설치된 스테이지, 상기 챔버내부를 배기하기 위한 배기장치, 및 상기 챔버에 적어도 2 종류의 반응가스를 소정량 공급할 수 있는 공급장치로 이루어져 있다.
이러한 유도결합형 플라즈마 CVD 장치는 다음과 같이 작동한다. 링형상의 안테나에 고주파를 인가하면, 이 안테나에 접하여 설치된 석영재의 유전체창의 반대측, 즉 챔버의 내부에 플라즈마를 형성한다. 챔버의 내측에는 스테이지가 설치되어 있고, 이 스테이지상에 적재된 피가공물을 가열한다. 또 챔버내부는 배기장치에 의하여 배기되어 진공상태가 되고, 이 챔버내에 가스공급장치가 적어도 2종류의 반응 가스를 소정량 공급한다.
그러나, 상기 유도결합형 플라즈마 CVD장치는 유전체창이 석영재 등의 산소를 포함하는 재료로 되어 있으므로, 박막을 증착할 때, 석영재 등의 유전체창의 플라즈마에 의한 식각이 일어난다. 따라서, 석영재의 유전체창으로부터 산소 및 불순물이 유입되어 챔버에서 형성되는 박막의 물성에 악영향을 미치는 문제점이 있었다.
또한, 종래의 유도결합형 플라즈마 CVD 장치에 있어서는 가스공급수단의 가스주입구가 진공반응챔버의 중앙부에 설치되어 있지 않고, 그의 측면부에 설치되어 있으므로, 가스의 주입이 대면적에 균일하게 이루어지지 않아 고밀도의 균일한 플라즈마를 형성할 수 없는 문제점이 있었다.
위에서 논한 바에 비추어 본 발명의 목적은 유도결합형 플라즈마 CVD 장치의 유전체창으로부터의 산소 및 불순물의 유입을 차단함으로써, 우수한 막특성(film characteristics)을 갖는 박막을 제조할 수 있는 유도결합형 플라즈마 CVD 장치를 제공하는 데 있다.
상기의 목적은 유도결합형 플라즈마 CVD 장치의 반응챔버의 적어도 일부분을 이루는 유전체창의 표면에 산소를 포함하지 않는 실리콘을 증착함으로써, 달성된다.
유도결합형 플라즈마 CVD 장치는 적어도 일부분이 유전체창(dielectric shield)으로 둘러싸인 내부공간을 갖는 반응챔버로서, 상기 유전체창의 반응챔버내측의 표면에 산소를 포함하지 않는 실리콘층을 갖는 반응챔버; 반응챔버의 내부공간으로 공정가스를 도입하는 수단; 상기 반응챔버밖의 상기 유전체창에 인접하여 놓여있는 고주파인가가 가능한 안테나; 상기 안테나에 고주파전원을 커플링하기 위한 수단; 상기 반응챔버의 내부공간에 있는 피가공물을 가열하기 위하여 설치된 스테이지; 상기 반응챔버의 내부공간을 배기하기 위한 배기수단으로 이루어진다. 상기 산소를 포함하지 않는 실리콘층은 비정질 실리콘층, 질화 실리콘층, 또는 탄화 실리콘층인 것이 바람직하다. 상기 공정가스 도입 수단은 상기 반응챔버에 적어도 2 종류의 반응가스를 소정량 공급가능한 것이다. 또한, 바람직하게는 1011~1012-3의 플라즈마 밀도를 얻기 위하여 안테나는 나선형상으로 이루어진다.
이 유도결합형 플라즈마 CVD 장치에 의하여, 광감도, 전기전도도, 활성화에너지, 광학적 밴드갭과 같은 전기적 및 광학적 특성이 우수하고 균일한 비정질 실리콘막을 얻을 수 있으며, 전기전도도, 항복 전압, 전류 밀도과 같은 박막의 특성이 우수하고 균일한 질화 실리콘막을 얻을 수 있고, 또는 결정립의 크기가 미세하고 균일한 실리콘박막을 얻을 수 있다. 더구나, 전계 효과 이동도, 문턱 전압과 같은 전기적 특성이 우수하고 균일한 비정질 실리콘막을 포함하는 박막 트랜지스터를 얻을 수 있으므로, 고품위의 TFT-LCD을 제조할 수 있다.
[실시 예]
이하, 첨부도면에 의거하여 본 발명의 양호한 실시예를 구체적으로 설명한다.
도1은 본 발명에 의거하여 제조한 유도결합형 플라즈마 CVD 장치10을 나타낸다. 이 플라즈마 CVD장치10는 진공반응챔버11를 포함한다. 이 진공반응챔버11는 원통형 측판 12, 상판 13 및 바닥판14으로 이루어진다. 진공반응챔버11는 밀폐상태를 유지하기 위하여 원통형 측판12과 상판13, 원통형 측판12과 바닥판14사이에 각각 O-링 실(0-ring sea1s)15A, 15B가 설치되어 있다.
상판13은 유전제창(dielectric shield)으로서, 석영재로 형성되어 있다. 유전체창13은 석영재이외에, 전파를 통과시키지만 적외선을 투과시키지 않는 Al2O3와 같은 세라믹 절연재로 이루어질 수도 있다.
본 실시예에서는 진공반응챔버11내에서 선택된 박막을 증착할 때, 유전체창13이 식각되어 산소 또는 불순물이 진공반응챔버11로 유입되는 것을 방지하기 위하여 유전체창13의 진공반응챔버11내측의 표면에 산소를 포함하지 않는 실리콘층16을 갖는다. 산소를 포함하지 않는 실리콘층16은 비정질 실리콘층으로 이루어지며, 비정질 실리콘층은 약 1000Å의 두께를 갖는다. 비정질 실리콘층이외에 질화 실리콘층, 또는 탄화 실리콘층을 사용할 수도 있다. 이것이 본 실시예의 중요한 특징을 이루는 것이다.
유전체창13의 상면에는 RF 전력을 인가할 수 있는 안테나17가 설치되어 있다. 안테나는 대면적 적용이 용이하고 우수한 균일성 및 단순한 형태를 나타내는 스파이럴형상이 바람직하다. 본 실시예에서는 도2A 및 도2B에 도시된 바와 같이, 1011~1012-3의 플라즈마 밀도를 얻기 위하여 2 종류의 스파이럴형상 안테나중 어느 하나를 사용하는 것이 바람직하다. 도면중, 참조번호 17a. 17a' 는 RF 전력이 인가되는 단자를 나타내고, 17b는 코일이다.
안테나17는 또한 매칭박스(matching box)18에 접속되어 있으며 매칭박스18는 RF전원19에 접속되어 있다.
바닥판14은 그의 중앙부를 관통하여 스테이지20가 설치되어 있으며, 이 스테이지20의 위면에는 피가공물, 예를 들면 유리기판21이 놓여진다. 바닥판14의 일측에는 배기라인22이 설치되어 있다. 스테이지20는 바닥판14와 전기적으로 절연되어 있어야 한다. 스테이지20는 플라즈마 증착을 위하여 필요한 냉각 및 가열능을 갖도록 설계되어 있다.
또한, 반응가스는 진공반응챔버11내로 하나이상의 가스공급관에 의하여 공급되며, 본 실시예에서는 도면에 2 개의 가스공급관24,25을 나타낸다. 또한, 2 종류이상의 반응가스를 공급하기 위하여 다수개의 가스저장탱크23가 가스공급관24A, 25A과 연결되어 있다.
가스공급관24, 25은, 반응가스를 대면적에 균일하게 공급하기 위하여 진공반응챔버11의 중앙부에 위치하도록 형성된 링형상부24A, 25A을 포함한다. 또한 링형상부24A, 25A의 둘레에는 각각 일정한 간격으로 다수의 노즐구멍24B, 25B가 형성되어 있다.
증착시, RF전력이 스파이럴 안테나에 인가되고, 미리 선택된 반응가스가 가스저장탱크23로부터 가스공급관24, 25에 공급된다. 가스공급관에 공급된 가스는 링형상부24A, 25A에 형성된 다수의 노즐구멍24B, 25B을 통하여 챔버내로 공급되면, 공급된 가스들은 균일하고 높은 밀도 예를 들면 1011~10123의 피이크 이온 밀도(peak ion density)의 유도결합형 플라즈마를 형성하게 된다.
증착(deposition)
1. 비정질실리콘 박막의 증착
진공챔버내의 스테이지상에 기판을 지지시킨다. 기판이 진공하에 지지된 상태에서 SiH4 의 가스를 진공챔버내에 설치된 가스공급수단의 가스주입구를 통하여 가스를 챔버내에 공급한다. 본 실시예에서는 SiH4을 사용하였다. SiH4이외에, Si2H6. SiH2Cl2/H2 등을 실리콘 소오스 가스로 사용할 수 있다. 이 때, SiH4 의 가스의 유량은 0.5 SCCM이고, 가스 압력은 70 mTorr이었다. 상기 챔버에 인접한 스파이럴 안테나에 40W의 RF전력을 인가하여 챔버내에 유도결합형 플라즈마를 발생시킨다. 기판의 온도를 250℃로 상승시킨다. 이어서, 기판상에 비정질실리콘 박막을 증착시킨다.
2. 질화 실리콘박막의 증착
진공챔버내의 스테이지상에 기판을 지지시킨다. 기판이 진공하에 지지된 상태에서 진공챔버내에 설치된 가스공급수단의 가스주입구를 통하여 SiH4/NH3/He 의 가스를 챔버내에 공급한다. 이 때, 가스유량은 SiH4 0.5∼2 SCCM, NH3 10∼60 SCCM, He 10∼100 SCCM이고, SiH4/NH3의 유량비는 1:10∼1:30 이며, 전체 가스 압력은 500∼800 mTorr이었다. 상기 챔버에 인접한 스파이럴 안테나에 10∼120 W의 RF전력을 인가하여 챔버내에 유도결합형 플라즈마를 발생시킨다. 기판의 온도를 200∼300℃의 온도로 상승시킨다. 이어서. 기판상에 질화 실리콘 박막을 증착시킨다.
3. 미세 결정립박막의 증착
진공챔버내의 스테이지상에 기판을 지지시킨다. 기판이 진공하에 지지된 상태에서 진공챔버내에 설치된 가스공급수단의 가스주입구를 통하여 SiH4H2/He의 가스를 챔버내에 공급한다. 이 때, 가스유량은 SiH4 0.5∼2 SCCM, H2 5∼100 SCCM, He 10∼100 SCCM이고, SiH4H2의 유량비는 1:10∼1:50 이며, 전체 가스 압력은 200∼500 mTorr이었다. 상기 챔버에 인접한 스파이럴 안테나에 10∼120 W의 RF전력을 인가하여 챔버내에 유도결합형 플라즈마를 발생시킨다. 기판의 온도를 200∼300℃의 온도로 상승시킨다. 이어서, 기판상에 미세 결정질 실리콘 박막을 증착시킨다.
이하, 본 실시예에 따른 유도결합형 플라즈마 CVD 장치에 의하여 제조된 여러 가지의 박막의 박특성을 살펴보고자한다.
도3은 본 실시예에 의하여 제조된 비정질실리콘박막의 FT-IR 특성(Fourier transform infrared characteristics)을 나타내었다. 여기서는 단결정 실리콘 웨이퍼위에 증착된 비정질 실리콘박막을 BOMEN 100
Figure pat00010
(보멘(Bomen)사에서 제작된 미첼손 엠비(Michelson MB) 시리즈의 스펙트로스코프 모델명) FT-IR 스펙트로스코프(Fourier transform infrared spectroscope) 를 사용하여 적외선영역에서의 투과도를 측정하였다. 적외선영역에서의 스펙트럼결과로부터, 파수(wave number) 2000 cm-1에서 Si-H 본드(Si-H bond)의 스트레치 모드(stretch mode)가 나타난 것을 알 수 있고, 파수 610 ㎝1 에서는 Si-H 본드(Si-H bond)의 벤드 모드(bend mode)가 나타난 것을 알 수 있다. 따라서. 본 실시예에 의하여 형성된 비정질 실리콘 박막은 전형적인 비정질 실리콘박막이다. 본 실시예에 따라 제조된 비정질 실리콘 박막에서는 Si-H2 본드(bond)가 발견되지 않았으며, Si-Hn(n=1, 2) 본드(bond)로부터 계산되어진 박막내의 수소함유량은 14 at. %로 밝혀졌다.
도4는 본 실시예에 따라 제작된 유도결합형 플라즈마 CVD 장치에서 제조된 비정질 실리콘 박막의 전기전도도 특성을 나타낸 그래프이다. 여기서는 Corning7059
Figure pat00011
(Corning 사에서 제작한 유리의 상품명)의 유리기판위에 증착된 박막에 열증착방법(thermal deposition method)으로 알루미늄을 코플레너 전극 형태(coplanar electrode shape)로 증착하여, 유도결합형 플라즈마 CVD 장치의 스테이지위에 부착한 후, Keithley electrometer 617
Figure pat00012
과 Keithley multimeter 195A
Figure pat00013
를 사용하여 온도에 따른 전기전도도를 측정하였다. 측정된 결과로부터 계산된 상온에서의 암전기전도도(dark conductivity)는 4.3×10-12 Ω-1cm-1이었고, AM-1 조건(100 mW/㎠로 광을 비춰주는 조건)에서 측정한 결과로부터 계산된 광전기전도도(photoconductivity)는 1.4×10-5 Ω-1cm-1이 었다. 또한 활성화에너지(activation energy)는 1.05 eV이었다. 따라서, 본 실시예에 따라 증착한 비정질 실리콘박막의 광감도(photosensitivity)는 3×106 이므로, 이 비정질 실리콘재료가 우수한 물성을 나타내고 있다는 것을 알 수 있다(Y. Kishi et al., Jpn. J. Appl. Phys. 31, 12, 1992 참고).
도5는 본 실시예에 따른 유도결합형 플라즈마 CVD 장치에서 제조된 비정질 실리콘 박막의 광학적 밴드갭( optical band gap)을 나타내는 그래프이다. 코닝(Corning)7059
Figure pat00014
의 유리기판위에 증착된 박막을 적외선/가시광선 스펙트로포토미터(UV/VIS spectrophotometer)(Varian 사의 CARY17D
Figure pat00015
와 Simadzu 사의 UV-3101PC
Figure pat00016
의 결합체)를 이용하여 광흡수계수(α)(optical absorption coefficient)를 측정하였다. 측정된 광흡수계수를 이용하여 광학적 밴드갭을 하기식(G. D. Cody et al., Sol. Cells, 2, 227, 1980 참고)에 의하여 얻었다.
(α h ι)1/2=B(E-Eg opt)
여기서, B는 밴드의 기울기를 나타내는 상수, hι는 입사된 광의 광자에너지, α는 광흡수계수, 및 Eg opt는 광학적 밴드 갭을 나타낸다.
도5에 도시된 바와 같이, 광학적 밴드갭은 1.78 eV이며, 이것으로부터 본 실시예의 비정질 실리콘박막은 전형적인 비정질 실리콘박막임을 알 수 있다.
도6은 본 실시예에 따른 유도결합형 플라즈마 CVD 장치에서 H2/SiH4 비율에 따라 증착된 미세결정질 실리콘 박막의 라만 산란(Ramm scattering)으로부터 얻은 결정화도(結晶化度;Degree of crystallization)와 전폭반치(前幅半傾, Full-Width at Half Maximum [FWHM])을 나타낸 그래프이다. Corning7059
Figure pat00017
의 유리기판위에 증착된 미세결정질 실리콘 박막을 라만 스펙트로스코피(Ramm spectroscopy)를 사용하여 결정화도 및 전폭반치를 구하였다(H. Kakinuma et al., Jpn. J. Appl. Pliyrs. 70. 7374, 1991 참고). 또한, 주사전자현미경(SEM)을 사용하여 미세 결정질의 크기를 측정하였다. 측정결과. 미세 결정질의 크기는 200Å~400Å이고, 결정화도는 도6에 도시된 바와 70%~73%임을 알 수 있었다. 이 값들은 전형적인 미세 결정립의 크기가 30Å~200Å이고. 결정화도는 수%에서 70%인 점을 고려할 때(K. Nomoto et al., JPn. J. Appl. Phys. 29, L1372, 1990 참고), 본 실시예의 미세 결정립 실리콘 박막은 우수한 박막임을 알 수 있다.
도7은 실시예에 의하여 제조된 비정질실리콘박막의 FT-IR 특성(Fourier transform infrared characteristics)을 나타내었다. 여기서는 전기전도도가 큰 단결정 실리콘 웨이퍼위에 증착된 질화 실리콘 박막을 BOMEN 100
Figure pat00018
FT-IR 스펙트로스코프(Fourier transform infrared spectroscope ) 를 사용하여 적외선영역에서의 투과도를 측정하였다. 적외선영역에서의 스펙트럼결과로부터, 파수(wave number) 3340cm-1에서 N-H 본드(N-H bond)의 스트레치 모드(stretch mode)가 나타난 것을 알수 있고, 파수 1150 cm-1 에서는 N-H 본드(Si-H bond)의 벤드 모드(bend mode)가 나타난 것을 알 수 있다. 또한, 파수(wave number) 840 cm-1에서 Si-N 본드(Si-N bond)가 나타난 것을 알 수 있다.
따라서, 본 실시예에 의하여 형성된 질화 실리콘 박막은 전형적인 질화 실리콘박막임을 알 수 있다.
도8는 본 실시예에 따라 제작된 유도결합형 플라즈마 CVD 장치에서 제조된 질화 실리콘 박막의전류-전압 특성을 나타낸 그래프이다. 여기서는 비저항이 10∼15 Ωcm 인 p 형의 실리콘 웨이퍼위에 두께 1000Å의 질화 실리콘박막을 증착한 후, 열증착방법으로 진공에서 직경 1mm의 알루미늄을 형성하여, MIS구조체를 제작하였다. Keithley elertrometer 617
Figure pat00019
를 사용하여 이 구조체의 전류-전압 특성을 측정하였다. 이 측정결과, 도8에 도시된 바와 같이, 항복 전압은 7 MV이고. 전류밀도는 1 MV/cm에서 약 1010 A㎠ 임을 알 수 있었다.
본 실시예에서 알 수 있는 바와 같이, 본 발명에 따른 유도결합형 플라즈마 CVD 장치는, 유도체창의 표면에 산소를 포함하지 않는 실리콘층이 형성되어 있으며, 가스 공급수단에 연결된 링형상부가 챔버의 중앙부에 위치하도록 설치되어 있고, 또한 링형상부의 둘레에는 일정한 간격을 두고 가스주입구가 다수 형성되어 있으므로, 고밀도의 균일한 플라즈마를 챔버내부에서 얻을 수 있다. 따라서, 본 발명에 의하면, 광감도, 전기전도도, 활성화에너지, 광학적 밴드갭과 같은 박막의 특성이 우수하고 균일한 비정질 실리콘막을 얻을 수 있으며, 항복 전압, 전류 밀도과 같은 박막의 특성이 우수하고 균일한 질화 실리콘막을 얻을 수 있고, 또는 결정립의 크기가 미세하고 균일한 실리콘박막을 얻을 수 있다. 더구나, 전계 효과 이동도, 문턱 전압과 같은 전기적 특성이 우수하고 균일한 비정질 실리콘막을 포함하는 박막 트랜지스터를 얻을 수 있으므로, 고품위의 TFT-LCD을 제조할 수 있다.
한편, 본 발명은 상술한 특정의 바람직한 실시예에 한정되지 아니하며, 청구범위에서 청구하는 요지를 벗어남이 없이 당해 발명이 속하는 분야에서 통상의 지식을 가진 자라면 누구든지 다양한 변경 실시가 가능할 것이다.
도1은 본 발명의 유도결합형 플라즈마 CVD 장치의 실시예를 나타내는 설명도이다.
도2A는 도1의 유도결합형 플라즈마 CVD 장치에 사용되는 안테나의 구조를 나타내는 개략도이다.
도2B는 도1의 유도결합형 플라즈마 CVD 장치에 사용되는 안테나의 구조를 나타내는 개략도이다.
도3은 본 발명의 일실시예에 따라 제조된 유도결합형 플라즈마 CVD 장치에서 증착된 비정질 실리콘 박막의 FR-IR 특성을 나타내는 그래프이다.
도4는 본 발명의 일 실시예에 따라 제조된 유도결합형 플라즈마 CVD 장치에서 증착된 비정질 실리콘 박막의 전기전도도 특성을 나타내는 그래프이다.
도5는 본 발명의 일실시예에 따라 제조된 유도결합형 플라즈마 CVD 장치에서 증착된 비정질 실리콘 박막의 광학적 밴드갭 특성을 나타내는 그래프이다.
도6는 본 발명의 일 실시예에 따라 제조된 유도결합형 플라즈마 CVD 장치에서 증착된 미세 결정질 실리콘 박막의 결정화도 및 라만 피크의 전폭반치(FWHM)를 나타내는 그래프이다.
도7는 본 발명의 일 실시예에 따라 제조된 유도결합형 플라즈마 CVD 장치에서 증착된 질화 실리콘 박막의 FR-IR 특성을 나타내는 그래프이다.
도8는 본 발명의 일 실시예에 따라 제조된 유도결합형 플라즈마 CVD 장치에서 증착된 질화 실리콘 박막의 전류-전압 특성을 나타내는 그래프이다.
* 도면의 주요부분에 대한 부호의 설명*
10: 유도결합형 플라즈마 CVD 장치 11: 진공반응 챔버
12: 원통형측판 13: 상판
14: 저판 16: 실리콘 층
20: 스테이지 21: 피가공물
24A, 25A: 링 형상부

Claims (8)

  1. 적어도 일부분이 유전체창(dielectric shield)으로 둘러싸인 내부공간을 갖는 진공반응챔버로서, 상기 유전체창의 반응챔버내측의 표면에 산소를 포함하지 않는 실리콘층을 갖는 진공반응챔버; 상기 반응챔버의 내부공간으로 반응가스를 도입하는 수단; 상기 반응챔버밖의 상기 유전체창에 인접하여 놓여있는, RF 전력이 인가될 수 있는 안테나; 상기 안테나 RF 전원을 커플링하기 위한 수단상기 반응챔버의 내부공간에 있는 피가공물을 가열하기 위하여 설치된 스테이지; 및 상기 반응챔버의 내부공간을 배기하기 위한 배기수단으로 이루어진 유도결합형 플라즈마 CVD 장치.
  2. 제 1 항에 있어서, 상기 산소를 포함하지 않는 실리콘층은 비정질 실리콘층인 것을 특징으로 하는 유도결합형 플라즈마 CVD 장치.
  3. 제 1 항에 있어서 상기 산소를 포함하지 않는 실리콘층은 질화 실리콘층인 것을 특징으로 하는 유도결합형 플라즈마 CVD 장치.
  4. 제 1 항에 있어서, 상기 산소를 포함하지 않는 실리콘층은 탄화 실리콘층인 것을 특징으로 하는 유도결합형 플라즈마 CVD 장치.
  5. 제 1 항에 있어서, 상기 공정가스 공급 수단은 상기 반응챔버에 적어도 2종류의 반응가스를 소정량 공급하며, 또한 상기 공급수단은 상기 챔버의 중앙부에 위치하는 링형상부를 포함하는 것을 특징으로 하는 유도결합형 플라즈마 CVD 장치.
  6. 제 5 항에 있어서, 상기 공정가스 공급수단의 링형상부는 그의 둘레에 일정한 간격으로 다수의 노즐구멍이 형성되어 있는 것을 특징으로 하는 유도결합형 플라즈마 CVD 장치.
  7. 제 6 항에 있어서, 상기 안테나는 나선형상(spiral shape)인 것을 특징으로 하는 유도결합형 플라즈마 CVD 장치.
  8. 제 5 항에 있어서, 상기 안테나는 나선형상(spiral shape)인 것을 특징으로 하는 유도결합형 플라즈마 CVD 장치.
KR1019970009217A 1996-03-18 1997-03-18 유도결합형 플라즈마 cvd 장치 KR100476039B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
KR19960007201 1996-03-18
KR96-7201 1996-03-18
KR1019960007201 1996-03-18
KR1019960021113 1996-06-13
KR19960021113 1996-06-13
KR96-21113 1996-06-13

Publications (2)

Publication Number Publication Date
KR970067610A KR970067610A (ko) 1997-10-13
KR100476039B1 true KR100476039B1 (ko) 2005-07-11

Family

ID=26631682

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1019970009218A KR100469134B1 (ko) 1996-03-18 1997-03-18 유도형플라즈마화학기상증착방법및그를이용하여생성된비정질실리콘박막트랜지스터
KR1019970009217A KR100476039B1 (ko) 1996-03-18 1997-03-18 유도결합형 플라즈마 cvd 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1019970009218A KR100469134B1 (ko) 1996-03-18 1997-03-18 유도형플라즈마화학기상증착방법및그를이용하여생성된비정질실리콘박막트랜지스터

Country Status (5)

Country Link
US (3) US5951773A (ko)
JP (2) JPH1081973A (ko)
KR (2) KR100469134B1 (ko)
DE (2) DE19711268B4 (ko)
GB (2) GB2311299B (ko)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2311299B (en) * 1996-03-18 2000-03-29 Hyundai Electronics Ind Inductively coupled plasma chemical vapor deposition technology
WO1999000829A1 (fr) * 1997-06-30 1999-01-07 Matsushita Electric Industrial Co., Ltd. Procede de fabrication d'un film semi-conducteur mince et dispositif de mise en oeuvre correspondant
DE69927221T2 (de) 1998-01-29 2006-07-13 Sumitomo Chemical Co., Ltd. Thermoplastische elastomerzusammensetzung in pulverform, pulverformverfahren unter verwendung derselben und formteile
US6511760B1 (en) * 1998-02-27 2003-01-28 Restek Corporation Method of passivating a gas vessel or component of a gas transfer system using a silicon overlay coating
JP4332263B2 (ja) * 1998-10-07 2009-09-16 エルジー ディスプレイ カンパニー リミテッド 薄膜トランジスタの製造方法
KR100524622B1 (ko) * 1999-04-03 2005-11-01 엘지.필립스 엘시디 주식회사 폴리실리콘 반도체층을 포함한 박막트랜지스터 제조방법
US6447635B1 (en) * 1999-08-24 2002-09-10 Bethel Material Research Plasma processing system and system using wide area planar antenna
US6320320B1 (en) * 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
KR20010087598A (ko) * 2000-03-08 2001-09-21 황 철 주 Hdp-cvd 장치 및 이를 이용한 갭 필링 방법
US6559052B2 (en) * 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
KR100675097B1 (ko) 2000-11-15 2007-01-29 주성엔지니어링(주) 유도결합형 플라즈마 장치
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6706336B2 (en) 2001-02-02 2004-03-16 Canon Kabushiki Kaisha Silicon-based film, formation method therefor and photovoltaic element
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100448880B1 (ko) * 2001-09-28 2004-09-18 김성훈 단백질칩 기판 및 플라즈마를 이용한 단백질칩 기판의제조방법
KR20030046189A (ko) * 2001-12-05 2003-06-12 변홍식 플라즈마 발생장치
DE10308381B4 (de) * 2003-02-27 2012-08-16 Forschungszentrum Jülich GmbH Verfahren zur Abscheidung von Silizium
JP3839038B2 (ja) * 2003-06-02 2006-11-01 株式会社シンクロン 薄膜形成装置
US8357242B2 (en) * 2007-05-03 2013-01-22 Jewett Russell F Crystalline film devices, apparatuses for and methods of fabrication
DE102004039969A1 (de) * 2004-08-18 2006-02-23 Leybold Optics Gmbh Plasmaquellenvorrichtung, Anordnung mit einer Plasmaquellenvorrichtung sowie Abstrahleinheit für eine Plasmaquellenvorrichtung
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
US7959984B2 (en) * 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
US20060218680A1 (en) * 2005-03-28 2006-09-28 Bailey Andrew D Iii Apparatus for servicing a plasma processing system with a robot
KR101180863B1 (ko) * 2005-05-31 2012-10-11 삼성디스플레이 주식회사 박막 트랜지스터 표시판 및 그 제조 방법
KR100731998B1 (ko) * 2005-06-07 2007-06-27 주식회사 뉴파워 프라즈마 유도결합 플라즈마 소오스
US7319316B2 (en) 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
JP4476232B2 (ja) * 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
JP5291875B2 (ja) * 2006-11-01 2013-09-18 富士フイルム株式会社 プラズマ装置
JP2008124111A (ja) * 2006-11-09 2008-05-29 Nissin Electric Co Ltd プラズマcvd法によるシリコン系薄膜の形成方法
WO2008137433A1 (en) * 2007-05-03 2008-11-13 Sencera International Corporation Crystalline film devices, apparatuses for and methods of fabrication
JP5084426B2 (ja) * 2007-09-27 2012-11-28 富士フイルム株式会社 窒化シリコン膜の形成方法
US8119545B2 (en) * 2008-03-31 2012-02-21 Tokyo Electron Limited Forming a silicon nitride film by plasma CVD
KR101207582B1 (ko) * 2009-02-17 2012-12-05 한국생산기술연구원 유도결합플라즈마 화학기상증착법을 이용한 태양전지 제조 방법
KR20110133541A (ko) 2009-03-27 2011-12-13 스미토모덴키고교가부시키가이샤 Mosfet 및 mosfet의 제조 방법
EP2413365A4 (en) * 2009-03-27 2013-05-08 Sumitomo Electric Industries MOSFET AND MOSFET MANUFACTURING METHOD
KR101071269B1 (ko) * 2009-08-25 2011-10-10 세메스 주식회사 기판 처리 장치
JP2011187802A (ja) * 2010-03-10 2011-09-22 Mitsui Eng & Shipbuild Co Ltd 薄膜形成装置
US9279722B2 (en) 2012-04-30 2016-03-08 Agilent Technologies, Inc. Optical emission system including dichroic beam combiner
CN102653859A (zh) * 2012-05-10 2012-09-05 中国电子科技集团公司第四十八研究所 一种石墨舟自动装卸片升降传递装置
CN103117222B (zh) * 2013-01-18 2016-01-13 中国科学院半导体研究所 ART结构沟槽内生长GaAs材料HEMT器件的方法
CN103794461A (zh) * 2013-12-02 2014-05-14 青岛蓝图文化传播有限公司市南分公司 一种等离子体处理装置
CN103911599B (zh) * 2014-03-26 2016-02-10 京东方科技集团股份有限公司 一种等离子体增强化学气相沉积装置
CN113966655A (zh) * 2021-10-14 2022-01-25 常州大学 冷等离子体生物处理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0421348A1 (en) * 1989-10-06 1991-04-10 Hitachi, Ltd. Film forming apparatus
KR940008021A (ko) * 1992-09-08 1994-04-28 제임스 조셉 드롱 전자기 고주파(rf) 커플링을 사용하는 플라즈마 반응기 및 방법
JPH0773997A (ja) * 1993-06-30 1995-03-17 Kobe Steel Ltd プラズマcvd装置と該装置を用いたcvd処理方法及び該装置内の洗浄方法
JPH07226383A (ja) * 1993-12-17 1995-08-22 Tokyo Electron Ltd プラズマ発生装置及びこのプラズマ発生装置を用いたプラズマ処理装置
JPH07312348A (ja) * 1994-03-23 1995-11-28 Tokyo Electron Ltd 処理方法及び処理装置

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2943634C2 (de) * 1979-10-29 1983-09-29 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Epitaxiereaktor
US4363828A (en) * 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
GB2069008B (en) * 1980-01-16 1984-09-12 Secr Defence Coating in a glow discharge
JPH0691010B2 (ja) 1983-01-11 1994-11-14 三井東圧化学株式会社 非晶質薄膜の製法
JPS6041046A (ja) * 1983-08-16 1985-03-04 Kanegafuchi Chem Ind Co Ltd 電子写真用感光体
US4583492A (en) * 1983-12-19 1986-04-22 United Technologies Corporation High rate, low temperature silicon deposition system
JPH0630343B2 (ja) * 1985-12-28 1994-04-20 アンリツ株式会社 微結晶相を含むアモルフアスシリコン薄膜導電体
US5038712A (en) * 1986-09-09 1991-08-13 Canon Kabushiki Kaisha Apparatus with layered microwave window used in microwave plasma chemical vapor deposition process
US4933300A (en) * 1987-02-12 1990-06-12 Hideomi Koinuma Process for forming multilayer thin film
JP2629773B2 (ja) * 1987-02-12 1997-07-16 秀臣 鯉沼 多層薄膜の形成方法
JPH01127679A (ja) * 1987-03-27 1989-05-19 Canon Inc 堆積膜形成方法
EP0379828B1 (en) * 1989-01-25 1995-09-27 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
JPH02197575A (ja) * 1989-01-26 1990-08-06 Canon Inc マイクロ波プラズマcvd法及びその装置
JPH02243764A (ja) 1989-03-16 1990-09-27 Sumitomo Metal Ind Ltd 薄膜製造装置
US5284789A (en) * 1990-04-25 1994-02-08 Casio Computer Co., Ltd. Method of forming silicon-based thin film and method of manufacturing thin film transistor using silicon-based thin film
JP2795736B2 (ja) 1990-07-05 1998-09-10 キヤノン株式会社 堆積膜の形成方法
JP2841243B2 (ja) * 1990-11-19 1998-12-24 キヤノン株式会社 マイクロ波プラズマcvd法による堆積膜形成装置
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
EP0678325B1 (en) 1991-07-09 1999-05-06 Mitsubishi Jukogyo Kabushiki Kaisha Catalyst and method for exhaust gas purification
JPH0815218B2 (ja) * 1991-12-03 1996-02-14 三星電子株式会社 半導体装置の製造方法
EP0552490A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
JPH06275655A (ja) * 1993-03-24 1994-09-30 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH0794421A (ja) * 1993-09-21 1995-04-07 Anelva Corp アモルファスシリコン薄膜の製造方法
DE4345229C2 (de) * 1993-09-30 1998-04-09 Reinhard Dr Schwarz Verfahren zum Herstellen von lumineszenten Elementstrukturen und Elementstrukturen
JPH07169833A (ja) * 1993-12-14 1995-07-04 Nec Corp 半導体装置及びその製造方法
TW293983B (ko) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5441768A (en) * 1994-02-08 1995-08-15 Applied Materials, Inc. Multi-step chemical vapor deposition method for thin film transistors
JP3336747B2 (ja) * 1994-06-09 2002-10-21 ソニー株式会社 絶縁膜の形成方法、並びに半導体装置の作製方法及び半導体装置
JPH088194A (ja) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk 気相成長機構および熱処理機構における加熱装置
DE4432066C1 (de) * 1994-09-09 1996-03-14 Lueder Ernst Verfahren zur Herstellung von Dünnschichttransistoren
US5677236A (en) * 1995-02-24 1997-10-14 Mitsui Toatsu Chemicals, Inc. Process for forming a thin microcrystalline silicon semiconductor film
JPH08260154A (ja) * 1995-03-20 1996-10-08 Toshiba Mach Co Ltd 誘導結合プラズマcvd装置
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
GB2311299B (en) * 1996-03-18 2000-03-29 Hyundai Electronics Ind Inductively coupled plasma chemical vapor deposition technology

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0421348A1 (en) * 1989-10-06 1991-04-10 Hitachi, Ltd. Film forming apparatus
KR940008021A (ko) * 1992-09-08 1994-04-28 제임스 조셉 드롱 전자기 고주파(rf) 커플링을 사용하는 플라즈마 반응기 및 방법
KR100265617B1 (ko) * 1992-09-08 2000-10-02 조셉 제이. 스위니 전자기 고주파 커플링을 사용하는 플라즈마 반응기 및 방법
JPH0773997A (ja) * 1993-06-30 1995-03-17 Kobe Steel Ltd プラズマcvd装置と該装置を用いたcvd処理方法及び該装置内の洗浄方法
JPH07226383A (ja) * 1993-12-17 1995-08-22 Tokyo Electron Ltd プラズマ発生装置及びこのプラズマ発生装置を用いたプラズマ処理装置
JPH07312348A (ja) * 1994-03-23 1995-11-28 Tokyo Electron Ltd 処理方法及び処理装置

Also Published As

Publication number Publication date
JPH1081973A (ja) 1998-03-31
GB9705608D0 (en) 1997-05-07
KR970067610A (ko) 1997-10-13
US5951773A (en) 1999-09-14
KR100469134B1 (ko) 2005-09-02
US6093660A (en) 2000-07-25
GB2311298A (en) 1997-09-24
GB2311298B (en) 1999-09-29
DE19711268A1 (de) 1997-10-30
DE19711267A1 (de) 1997-10-30
US6380612B1 (en) 2002-04-30
JPH1027762A (ja) 1998-01-27
GB9705612D0 (en) 1997-05-07
DE19711268B4 (de) 2004-09-16
GB2311299B (en) 2000-03-29
GB2311299A (en) 1997-09-24
KR970065766A (ko) 1997-10-13

Similar Documents

Publication Publication Date Title
KR100476039B1 (ko) 유도결합형 플라즈마 cvd 장치
US5133986A (en) Plasma enhanced chemical vapor processing system using hollow cathode effect
KR100348343B1 (ko) 실리콘 산화막 열처리 방법 및 장치
US7632758B2 (en) Process and apparatus for forming oxide film, and electronic device material
KR0143873B1 (ko) 절연막 및 반도체장치 및 반도체 장치 제조방법
US20040134429A1 (en) Film forming method and film forming apparatus
JP4434115B2 (ja) 結晶性シリコン薄膜の形成方法及び装置
US4692344A (en) Method of forming a dielectric film and semiconductor device including said film
KR20010030398A (ko) 박막형성방법 및 장치
CN101632153B (zh) 硅薄膜形成方法
KR100537478B1 (ko) 반도체장치를 제조하기 위한 프로세스와, 이를 수행하기 위한 진공실
Lim et al. Structural and electrical properties of low temperature polycrystalline silicon deposited using SiF4 SiH4 H2
TW421676B (en) Inductively coupled plasma chemical vapor deposition apparatus
JP3119988B2 (ja) 半導体装置の作製方法
JP3564505B2 (ja) 半導体装置の作製方法
Wang et al. Crystallization of a‐Si: H on Glass for Active Layers in Thin Film Transistors: Effects of Glass Coating
JP3130661B2 (ja) 薄膜トランジスタ及びその製造方法
JP3130659B2 (ja) 薄膜トランジスタ及びその製造方法
JP3340407B2 (ja) 絶縁被膜および半導体装置
JP3340406B2 (ja) 半導体装置の作製方法
JP3340425B2 (ja) 半導体装置の作製方法
JP3120079B2 (ja) 絶縁被膜および半導体装置
Kolodziej et al. Properties of image sensor structure obtained below 120° C on the foil by reactive magnetron sputtering
Lee et al. Fast solid-phase crystallization of amorphous silicon films on glass using low-temperature multi-step rapid thermal annealing
Kalkan et al. LOW TEMPERATURE POLYCRYSTALLINE SILICON THIN FILMS DEPOSITED BY ELECTRON CYCLOTRON RESONANCE (ECR) PLASMA

Legal Events

Date Code Title Description
N231 Notification of change of applicant
N231 Notification of change of applicant
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130305

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140218

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150216

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20160222

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20170220

Year of fee payment: 13

EXPY Expiration of term