KR20010030398A - 박막형성방법 및 장치 - Google Patents

박막형성방법 및 장치 Download PDF

Info

Publication number
KR20010030398A
KR20010030398A KR1020000054186A KR20000054186A KR20010030398A KR 20010030398 A KR20010030398 A KR 20010030398A KR 1020000054186 A KR1020000054186 A KR 1020000054186A KR 20000054186 A KR20000054186 A KR 20000054186A KR 20010030398 A KR20010030398 A KR 20010030398A
Authority
KR
South Korea
Prior art keywords
radical
film
gas
film forming
substrate
Prior art date
Application number
KR1020000054186A
Other languages
English (en)
Other versions
KR100487593B1 (ko
Inventor
기리무라히로야
구라타니나오토
오가타기요시
Original Assignee
가와하라 하지메
닛신덴키 가부시키 가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가와하라 하지메, 닛신덴키 가부시키 가이샤 filed Critical 가와하라 하지메
Publication of KR20010030398A publication Critical patent/KR20010030398A/ko
Application granted granted Critical
Publication of KR100487593B1 publication Critical patent/KR100487593B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma

Abstract

본 발명의 목적은 해리에너지가 다른 성막용 가스와 래디컬 원료가스를 사용하여 막을 형성하는 경우에 있어서 각 가스의 해리를 각각 제어하여 각 가스의 과잉해리에의한 이온의 다량발생이나 높은 플라즈마 포텐셜에 의한 막의 손상을 억제하면서 고품위의 막을 형성할 수 있고, 또한 넓은 면적에 균일하게 양질의 막을 형성할 수 있는 박막형성방법 및 장치를 제공하는 것이다.
이를 위하여 기판홀더(12)를 설치한 성막실(1)과; 성막실(1)에 연달아 설치되어 기판홀더(12)에 설치되는 피성막 기판(S)의 성막 대상영역 전체에 균일하게 중성래디컬을 조사하는 래디컬 조사장치(2)를 사용하여, 성막실(1)에 소정의 성막용 가스를 도입하여 기판홀더(12)에 설치한 피성막 기판(S)의 근방에 성막용 가스플라즈마(PLl)를 형성하는 것과, 래디컬 조사장치(2)에 있어서 소정의 래디컬 원료가스를 여기, 해리하여 중성 래디컬(RA)을 생성시킴과 더불어 래디컬(RA)을 피성막 기판(S)의 성막 대상영역에 균일하게 조사하는 것으로 피성막 기판(S)에 소정의 박막을 형성하는 박막형성방법 및 이것을 실시하는 박막형성장치(A)가 제공된다.

Description

박막형성방법 및 장치{THIN FILM FORMING METHOD AND THIN FILM FORMING APPARATUS}
본 발명은 박막형성방법 및 장치에 관한 것이다.
박막은 여러가지의 목적으로 여러가지의 방법으로 형성되나, 박막형성방법의 대표적인 것의 하나로서 플라즈마 CVD법(plasma CVD method)을 들 수 있다.
플라즈마 CVD 법에 의해 형성되는 박막의 예로서, 액정표시장치 등에 있어서 각 화소에 설치되는 TFT(박막트랜지스터)스위치의 재료가 되는 실리콘막을 들 수 있고, 또 실리콘 산화막, 실리콘 질화막 등이나 태양전지 등에 사용되는 실리콘계박막을 들 수 있다.
현재 실리콘막의 플라즈마 CVD 법에 의한 형성을 예로 들면 실란가스(silane gas)와 수소가스를 혼합하여 피성막 기판에 대향하는 전극에 고주파 전력을 인가하고, 상기 혼합가스를 상기 전력인가에 의해 해리, 분해하여 기판상에 아몰퍼스 (amorphous) 실리콘막을 형성한다.
또 실리콘 산화막의 경우는, 실란가스와 같은 실리콘계 가스에 N20, 산소가스 등의 산소계 가스를 혼합하여 상기와 같이 고주파 전력을 인가하여 상기 혼합가스를 해리, 분해하여 기판상에 실리콘 산화막을 형성한다.
도 4에 종래부터 알려져 있는 평행 평판형 플라즈마 CVD 장치의 일예의 개략단면도를 나타낸다.
도 4에 나타내는 평행 평판형 플라즈마 CVD 장치(B)는 진공케이스(C')를 구비하고 있고, 이 케이스(C')는 성막실(9)과, 복수의 가스 통과구멍을 가지는 평판전극(91)과, 평판전극(91)을 개재하여 성막실(9)에 접속되는 가스 체류실(92)를 포함하고 있다.
이 케이스(C')에는 다시 성막용 가스를 가스 체류실(92)로 도입하는 성막용가스도입 파이프(93)와, 래디컬 원료가스를 가스 체류실(92)로 도입하는 래디컬 원료가스 도입파이프(94)와, 배기구(95)와, 피성막 기판(S')의 반입반출을 행하는 게이트밸브(GA')부착 기판 반입 반출구(96)와, 성막시에 피성막 기판(S')을 지지하는 승강가능한 기판홀더(97)가 설치되어 있다. 홀더(97)에는 기판 가열용 히터(H)가 내장되어 있다. 전극(91)은 기판홀더(97)에 대향하고 있고, 가스 체류실(92)은 전극(91)의 위쪽에 위치하고 있다. 또 전극(91)의 위쪽에는 가스 체류실(92)에서의 여분의 가스플라즈마발생을 방지하는 도전성 다공판(98)이 설치되어 있다.
배기구(95)에 성막실을 소정의 감압상태로 하는 진공배기장치(951)가 접속되어 있다.
기판홀더(97)와 진공케이스(C')는 접지되어 있다. 플라즈마 CVD 장치(B)에서는 도입된 성막용 가스와 래디컬 원료용 가스는 가스 체류실(92)에서 혼합되어 도전성 다공판(98)과 평행 평판전극(91)을 통하여 성막실(9)로 도입된다. 전극(91)은 매칭박스(MB9)를 개재하여 고주파 전원(PS9)에 접속되어 있고, 전원 (PS9)을 온으로 함으로써 전극(91)에 전력을 인가하여 혼합가스의 플라즈마(PL9)를 생성하여 피성막 기판(S')에 막을 형성할 수 있다.
그러나 이와 같이 해리 에너지가 다른 가스를 혼합하여 고주파 전력으로 여기한 경우, 해리 에너지가 낮은 가스분자(예를 들어 실란가스)가 우선적으로 해리하고 수소나 산소계 가스의 해리도는 낮아진다.
실리콘막이나 실리콘 산화막을 형성하는 경우, 저에너지의 수소래디컬이나 산소래디컬의 밀도가 높을 수록 양질의 막이 얻어지는 것이나, 이와 같은 종래의 플라즈마 CVD 장치에서는 수소나 산소계 래디컬의 밀도가 낮아지기 때문에 양질의 막이 얻어지지 않는다.
수소래디컬이나 산소래디컬의 밀도를 높이기 위하여 가스플라즈마화용 고주파 전력을 크게 하는 것이 고려되나, 이 경우 플라즈마 포텐셜의 증가, 실란가스의 과잉해리에 의한 고속이온의 발생을 초래하고, 그 결과 막중에 이온충격에 의한 손상을 야기하게 되어 저결함의 양질의 막을 형성할 수 없다.
또한 양질의 막을 얻는 방법으로서 ECR-CVD가 제안되어 있다. 이것은 마이크로파에 의해 수소나 산소와 같은 해리 에너지가 높은 가스를 ECR 플라즈마원으로해리하여 수소 래디컬이나 산소 래디컬을 기판에 조사함과 동시에 기판근방에 실란가스를 도입하여 기판상에 실리콘막이나 실리콘 산화막을 형성하는 방법이다.
이 방법에 의하면 실란가스를 과잉으로 해리하는 일 없이 양질의 막이 얻어지는 것이나, ECR 플라즈마원의 구조상, 넓은 면적에 균일하게 성막할 수 없어 오늘날의 피성막 기판의 대형화에 대응할 수 없다.
따라서 본 발명은 해리 에너지가 다른 성막용 가스와 래디컬 원료가스를 사용하여 막을 형성하는 경우에 있어서 각 가스의 해리를 각각 제어하여 각 가스의 과잉해리에 의한 이온의 다량발생과 높은 플라즈마 포텐셜에 의한 막의 손상을 억제하면서 고품위의 막을 형성할 수 있고, 또한 넓은 면적에 균일하게 양질의 막을 형성할 수 있는 박막형성방법 및 장치를 제공하는 것을 과제로 한다.
도 1은 본 발명에 관한 박막형성장치의 일예인 래디컬 조사플라즈마 CVD 장치의 개략 단면도,
도 2는 도 1에 나타내는 래디컬 조사플라즈마 CVD 장치의 변형예의 개략 단면도,
도 3은 도 1에 나타내는 래디컬 조사플라즈마 CVD 장치의 다른 변형예의 개략 단면도,
도 4는 평행 평판형 플라즈마 CVD 장치의 개략 단면도이다.
※도면의 주요부분에 대한 부호의 설명
A, A', A" : 래디컬 조사플라즈마 CVD 장치
B : 평행 평판형 플라즈마 CVD 장치
1 : 성막실 11 : 성막용 가스도입 파이프
12 : 기판홀더 H : 히터
13 : 원통형상 전극 2 : 래디컬 조사장치
21 : 전기절연성 가공판 211 : 래디컬 통과구멍
22 : 래디컬 생성실 221 : 래디컬 생성실 내벽
23 : 나선형상 고주파 유도전극 23a : 필라멘트
23b : 마이크로파 발생장치 24 : 래디컬 원료가스 체류실
25 : 래디컬 원료가스 도입파이프 26 : 도전성 다공판
27 : 절연성 다공판 3 : 기판반송부
31 : 진공배기장치 4 : 기판 반입반출용 개구
9 : 성막실 91 : 평행 평판전극
92 : 가스체류실 93 : 성막용 가스도입 파이프
94 : 래디컬 원료가스 도입파이프 95 : 배기구
96 : 기판 반입반출구 97 : 기판홀더
98 : 도전성 다공판 PS1, PS2, PS9 : 고주파전원
MB1, MB2, MB9 : 매칭박스 PW1 : 전원
PW2 : 마이크로파원 PL1, PL2, PL9 : 플라즈마
RA : 래디컬 RAS : 성막용 래디컬
GA, GA' : 게이트밸브
본 발명은 다음의 박막형성방법 및 장치를 제공한다.
(1) 박막형성방법
기판홀더를 설치한 성막실과, 이 성막실에 연달아 설치되어 상기 기판홀더에 설치되는 피성막 기판의 성막 대상영역 전체에 균일하게 중성래디컬을 조사하는 래디컬 조사장치를 준비하는 단계와,
상기 기판홀더에 피성막 기판을 설치하는 단계와,
상기 성막실에 소정의 성막용 가스를 도입하여 상기 기판홀더에 설치한 피성막 기판의 근방에 성막용 가스플라즈마를 형성하는 단계와,
상기 래디컬 조사장치에 있어서 소정의 래디컬 원료가스를 여기, 해리하여 중성래디컬을 생성시킴과 더불어 이 래디컬을 상기 피성막 기판의 성막 대상영역에 균일하게 조사하는 단계를 포함하는 피성막 기판에 소정의 박막을 형성하는 박막형성방법.
(2) 박막형성장치
성막실과,
상기 성막실에 연달아 설치된 래디컬 조사장치와,
상기 성막실내에 설치된 기판홀더와,
상기 기판홀더에 설치되는 피성막 기판의 근방에 소정의 성막용 가스의 플라즈마를 형성하는 성막용 가스플라즈마생성장치를 구비하고 있고,
상기 래디컬 조사장치는 상기 기판홀더에 설치되는 피성막 기판의 성막 대상영역의 전체를 면하여 소정의 래디컬 원료가스를 여기, 해리하여 중성래디컬을 생성하고 상기 기판의 성막 대상영역의 전체에 균일하게 상기 래디컬을 조사하는 박막형성장치.
본 발명에 관한 박막형성방법 및 박막형성장치에 의하면, 피성막 기판은 성막실에 있어서의 기판홀더에 설치된다. 그리고 성막실로 도입된 성막용 가스가 플라즈마화된다. 한편, 래디컬 조사장치에는 래디컬 원료가스가 도입되어 성막용 가스플라즈마화와는 별도로 여기·해리되어 중성래디컬이 생성된다. 피성막 기판에는 이들 성막 가스플라즈마와 중성래디컬 조사장치로부터 조사되는 중성래디컬에 의해 소정의 박막이 형성된다.
박막형성에 있어서는 해리 에너지가 서로 다른 성막용 가스와 래디컬 원료가스가 사용되나, 이들 가스는 성막실과 래디컬 조사장치에 있어서 따로 따로 여기·해리된다. 따라서 각 가스의 해리를 각각 제어하여 피성막 기판 근방에서의 플라즈마 포텐셜을 낮게 유지하여 고속이온, 고속전자의 발생 및 그들의 피성막 기판에 대한 입사를 억제하여 피성막 기판 근방에서의 플라즈마밀도를 소망하는 수준으로 유지하면서 성막에 요구되는 중성래디컬을 효율 좋게 피성막 기판에 입사시킬 수 있고, 그 만큼 고품위의 막을 형성할 수 있다.
또 중성 래디컬은 래디컬 조사장치로부터 피성막 기판의 성막 대상영역 전체에 균일하게 조사되기 때문에 대형기판에 대해서도 넓은 면적에 균일하게 소정의 박막을 형성할 수 있다.
상기 성막실에 있어서의 상기 피성막 기판 근방의 성막용 가스플라즈마의 형성은 본 발명에 관한 박막형성방법에 있어서는 래디컬 조사장치로부터 피성막 기판에 대한 래디컬 조사를 방해하지 않도록 하면 되고, 본 발명에 관한 박막형성장치에 있어서는 래디컬 조사장치로부터 피성막 기판에 대한 래디컬 조사를 방해하지 않는 성막용 가스플라즈마 생성장치를 사용하여 행하면 된다.
본 발명에 관한 박막형성방법에서는 예를 들어 래디컬 조사를 방해하지 않도록 배치되는 평행 평판형의 한 쌍의 전극 사이에 가스플라즈마화용 전력을 인가하함으로써 성막용 가스를 플라즈마화하는 경우나, 피성막 기판의 둘레 가장자리부를 면하는 통형상 전극 또는 링형상 전극에 대한 가스플라즈마화용 전력인가에 의해 성막용 가스를 플라즈마화하는 경우를 들 수 있다.
본 발명에 관한 박막형성장치에서는, 성막용 가스플라즈마 생성장치를 이와 같은 평행 평판형의 한 쌍의 가스플라즈마화용 전력인가를 위한 전극을 포함하는 것으로 하는 경우나, 기판홀더에 설치되는 피성막 기판의 둘레 가장자리부를 면하는, 가스플라즈마화용 전력인가를 위한 통형상 전극 또는 링형상 전극을 포함하는 것으로 하는 경우를 예시할 수 있다.
상기 통형상 전극이나 링형상 전극을 채용하는 경우, 성막용 가스의 플라즈마를 주로 피성막 기판의 둘레 가장자리부를 면하는 영역에 형성할 수가 있어 그만큼 피성막 기판에 대한 이온등의 고속 하전입자의 돌입을 회피하여 손상이 억제된 양질의 막을 형성할 수 있다.
상기 래디컬 조사장치로서는 대표예로서, 상기 기판홀더에 설치되는 피성막 기판의 성막 대상영역의 전체를 면하고, 이 성막 대상영역의 전체에 균일하게 래디컬을 조사하기 위한 전기절연성 다공판을 가지는 것을 들 수 있다.
이와 같은 전기절연성 다공판이 있으면, 이온 등의 하전입자는 상기 다공판의 대전에 의해 성막실측으로 이행하는 것이 억제되고, 그 만큼 하전입자에 의한 막의 손상이 억제되는 한편, 중성래디컬에 있어서는 피성막 기판으로의 이행을 방해받는 일이 없다.
상기 래디컬 조사장치의 또 다른 구체예로서, 상기 기판홀더에 설치되는 피성막 기판의 성막 대상영역의 전체를 면하고, 이 성막 대상영역의 전체에 균일하게 래디컬을 조사하기 위한 전기절연성 다공판과, 이 다공판으로 상기 성막실로부터 칸막이된 래디컬 생성실과, 이 래디컬 생성실내에 전체적으로 래디컬 원료가스의 여기, 해리상태를 형성하는 래디컬 원료가스 여기·해리장치를 포함하고 있는 것을 들 수 있다.
이 경우, 래디컬 생성실은 래디컬 조사를 위한 상기 전기절연성 다공판을 벽체의 일부로 하는 전기절연성 벽체로 둘러싸인 공간으로 형성되고, 상기 래디컬 원료가스 여기·해리장치는 상기 래디컬 생성실로 도입되는 래디컬 원료가스에 전체적으로 가스플라즈마화용 전력을 인가하는 전극을 포함하고 있는 경우를 예시할 수 있다.
래디컬 생성실을 래디컬 조사를 위한 상기 전기절연성 다공판을 벽체의 일부로 하는 전기절연성 벽체로 둘러싸인 공간으로 형성함으로써 래디컬의 수명을 연장시킬 수 있음과 더불어 이온 등의 하전입자를 래디컬 생성실내에 가두면서 중성래디컬에 있어서는 피성막 기판으로의 이행을 가능하게 할 수 있다.
이와 같은 래디컬 생성실은, 예를 들어 래디컬 조사를 위한 상기 전기절연성다공판과, 이 다공판에 소정거리를 두고 대향하는 래디컬 원료가스 도입용 전기절연성 다공판과, 이들 양 다공판 사이영역을 둘러싸는 전기절연성 둘레측벽으로 둘러싸인 공간으로 형성할 수 있다.
래디컬 원료가스 도입용 전기절연성 다공판은 래디컬 생성실내에 균등하게 래디컬 원료가스를 도입할 수 있는 것이 바람직하다.
상기 래디컬 생성실로 도입되는 래디컬 원료가스에 전체적으로 가스플라즈마화용 전력을 인가하는 전극으로서는 나선형상의 고주파 유도전극을 예시할 수 있다.
이와 같은 나선형상 전극은 예를 들어 래디컬 생성실 밖에 있어서 상기한 래디컬 원료가스 도입용 전기절연성 다공판을 면하게 설치할 수 있다.
래디컬 생성실 밖에서의 불필요한 래디컬 원료가스의 여기, 해리를 피하기 위하여 이와 같은 전극의 더욱 바깥쪽에 도전성의 다공판을 설치하여도 된다. 이 다공판도 상기 래디컬 원료가스 도입용 전기절연성 다공판을 개재하여 래디컬 생성실내에 균등하게 래디컬 원료가스를 도입할 수 있는 것이 바람직하다.
또한 래디컬 원료가스 여기·해리장치로서는, 고주파 전력의 인가에 의해 가스를 플라즈마화하는 것에 한정되지 않으며, 열전자를 방출하는 필라멘트를 이용한 필라멘트형의 것, 마이크로파에 의한 것 등도 채용할 수 있다.
상기 성막용 가스 및 래디컬 원료가스는 형성하고자 하는 막에 따라 선택할 수 있으나, 예를 들어 상기 성막용 가스로서 실리콘계 가스를 사용하고, 상기 래디컬 원료가스로서 반응성의 래디컬 원료가스를 사용하여 실리콘계 박막을 형성할 수가 있다.
이와 같은 실리콘계 가스로서는, SiH4, Si2H6등의 수소화 실리콘가스, SiF4등의 플루오르화 실리콘가스, SiCl4등의 염소화 실리콘가스, TEOS 가스 등을 예시할 수 있다.
또 래디컬 원료가스로서는, 산소, 질소, 수소, 탄소중 적어도 1 종류를 함유하는 가스, 그들 가스의 2 종류 이상을 함유하는 가스와 같은 반응성 래디컬 원료가스를 예시할 수 있다.
상기 성막실에 있어서의 성막용 가스의 플라즈마화 및 상기 래디컬 조사장치에 있어서의 래디컬 원료가스의 여기, 해리를 모두 그들 가스에 대한 고주파 전력인가에 의한 가스플라즈마화에 의하여 행할 수 있다.
여하튼(그러나 특히 래디컬 조사장치로서 고속이온 등의 유출을 억제할 수 있도록 상기 기판홀더에 설치되는 피성막 기판의 성막 대상영역의 전체를 면하여 상기 성막 대상영역의 전체에 균일하게 래디컬을 조사하기 위한 전기절연성 다공판을 가지는 것을 채용하는 경우에는), 래디컬 조사장치에 있어서 성막에 요구되는 충분한 중성래디컬을 생성하기 위하여, 상기 가스플라즈마화에 있어서 상기 래디컬 조사장치에 있어서는 래디컬 원료가스 플라즈마화를 위해 인가하는 고주파 전력을 상기 성막실에 있어서 성막용 가스플라즈마화를 위해 인가하는 고주파 전력보다 크게 할 수 있다.
또 성막실에 있어서 막의 손상을 야기하는 고속이온 등의 발생을 억제하면서 성막에 요구되는 성막용 가스플라즈마 밀도를 얻기 위하여, 성막용 가스의 플라즈마화에 있어서 상기 성막실에 있어서는 성막용 가스플라즈마화를 위해 인가하는 고주파 전력의 크기를 막의 손상을 야기하는 하전입자의 발생을 억제하는 크기로 유지함과 더불어 주파수를 상기 래디컬 조사장치에 있어서 래디컬 원료가스 플라즈마화를 위해 인가하는 고주파 전력의 주파수보다 높게 할 수 있다.
상기 래디컬 조사장치로부터의 래디컬 조사개시의 타이밍, 상기 성막실에 있어서의 성막용 가스의 플라즈마화 개시의 타이밍은 형성하고자 하는 막에 따라 어느 하나가 먼저 개시하거나 또는 쌍방 동시에 개시되어도 좋으나, 피성막 기판표면을 중성래디컬로 표면개질(改質)처리(예를 들어 세정처리, 표면결함 해소처리)한 후 막형성하고자 하거나, 피성막 기판표면의 댕글링본드(dangling bond)에 중성래디컬을 결합시킨 후, 그 위에 막을 형성함으로써 기판과 막의 계면결합을 양호하게 하고자 하는 등의 경우에는 상기 래디컬 조사장치로부터의 래디컬 조사를 개시한 후에 상기 성막용 가스의 플라즈마를 형성하여 소정의 박막을 형성하여도 좋다.
예를 들어 상기 성막용 가스로서 실리콘계 가스를 사용하여 상기 래디컬 원료가스로서 반응성의 래디컬 원료가스를 사용하여 실리콘계 박막을 형성하는 경우에 있어서, 래디컬 조사장치로부터의 래디컬 조사를 개시한 후에 성막용 가스의 플라즈마를 형성하여 소정의 양질의 실리콘계 박막을 형성할 수 있다.
이하, 본 발명의 실시형태를 도면을 참조하여 설명한다.
도 1은 본 발명에 따른 박막형성장치의 일예인 래디컬조사 플라즈마 CVD 장치의 개략 단면도이다.
도 1에 나타내는 래디컬조사 플라즈마 CVD 장치(A)는 진공케이스 내지 진공박스(C)를 구비하고 있고, 상기 케이스는 성막실(1)과, 래디컬 조사장치(2)와, 배기구(3)와, 피성막 기판(S)를 성막실(1)에 반송하는 기판 반입반출용 개구(4)를 가지고 있다. 래디컬 조사장치(2)는 성막실(1)에 연달아 설치되어 플라즈마를 생성하고, 생성한 플라즈마중 중성래디컬을 성막실(1)에 조사한다.
성막실(1)은 성막용 가스를 성막실(1)로 도입하는 성막용 가스도입 파이프 (11)와, 도시 생략한 구동수단으로 승강가능한 기판홀더(12)와, 기판홀더(12)에 설치되는 피성막 기판(S)의 근방에 성막용 가스플라즈마를 형성하는 원통형 전극(13)을 구비하고 있다.
기판홀더(12)는 접지되어 있고, 기판가열용 히터(H)를 가지고 있다. 원통형전극(13)은 성막실(1)의 안쪽에 측벽을 따라 래디컬 조사장치(2)로부터의 래디컬 조사를 방해하지 않도록 원통 형상으로 형성, 배치되고 매칭박스(MB1)를 개재하여 고주파 전원(PS1)에 접속되어 있다.
성막용 가스도입 파이프(11)는 원통형 전극(13)의 위쪽에 위치하여 성막용 가스를 성막실내로 도입한다. 원통형 전극(13)을 사용함으로써 성막용 가스의 플라즈마는 전극(13) 근방에 생성되고, 이에 따라 기판(S)에 대한 고속이온의 돌입을 억제할 수 있는 한편, 전극(13)에 의해 방해되는 일 없이 장치(2)로부터 충분한 래디컬이 기판(S)에 도달할 수 있고, 이들에 의해 양질의 박막을 성막하는 것이 가능하다.
또한 전극(13), 전원(PS1) 등은 파이프(11)로부터 도입되는 성막용 가스의 플라즈마생성장치를 구성하고 있다.
진공케이스(C)의 바닥부(C1)는 상기한 배기구(3)를 구비하고, 배기구(3)에는 케이스(C)내를 소정의 감압상태로 배기하는 진공배기장치(31)가 접속되어 있다. 또 진공케이스(C)는 본체 측벽(C2)에 상기한 기판 반입반출용 개구(4)를 가지고 있다. 개구(4)의 챔버(C2) 출구측에 외접하여 게이트밸브(GA)가 설치되어 있다.
래디컬 조사장치(2)는 기판홀더(12)에 설치되는 피성막 기판(S)의 성막 대상영역 전체에 균일하게 래디컬을 조사하기 위한 전기절연성 다공판(21)과, 다공판 (21)에 의해 성막실(1)로부터 칸막이된 래디컬 생성실(22)과, 래디컬 생성실(22)내에 전체적으로 래디컬 원료가스의 여기·해리상태를 형성하는 나선형상 고주파 유도전극(나선형상의 고주파 안테나)(23)과, 래디컬 원료가스를 일시적으로 체류시켜 놓는 래디컬 원료가스 체류실(24)과, 래디컬 원료가스 체류실(24)에 래디컬 원료가스를 도입하는 래디컬 원료가스 도입파이프(25)를 구비하고 있다.
다공판(21)의 위쪽에는 다공판(21)과 평행한 전기절연성 다공판(27)이, 또 다공판(21, 27)의 사이에 전기절연성의 둘레 측벽(221)이 있고, 이들에 의해 래디컬 생성실(22)이 형성되어 있다.
이와 같이 래디컬 생성실(22)이 전기절연성 재료로 둘러싸여 있기 때문에, 래디컬은 도체에 가까이 가면 소멸하기 쉬운 성질을 가지고 있는 데, 여기서는 래디컬 생성실(22)내에서 발생한 플라즈마(PL2)중 중성래디컬의 수명이 길고, 고밀도가 된다. 또 전기절연성 다공판(21)을 사용함으로써 래디컬 생성실(22)에서 플라즈마가 생성될 때 발생하는 하전입자가 그들에 의한 다공판(21)의 대전에 의해 통과할 수 없게 되는 한편, 전기적으로 중성인 래디컬은 다공판(21)을 통과할 수 있다.
래디컬 생성실(22)과 래디컬 원료가스 체류실(24) 사이에 나선형상 고주파 유도전극(23)이 설치되어 있고, 전극(23)은 매칭박스(MB2)를 개재하여 고주파 전원 (PS2)에 접속되어 있다. 전극(23)은 전기절연성 다공판(27)의 바깥쪽으로부터 래디컬 생성실(22)의 전체를 면하고 있다. 전극(23)의 체류실(24)측에는 체류실내에서의 플라즈마생성을 막기 위하여 전극(23)에 접촉하지 않도록 도전성 다공판(26)이 설치되어 있다. 또 도전성 다공판(26) 및 절연성 다공판(27)에 의해 래디컬 원 료가스를 래디컬 원료가스 체류실(24)로부터 래디컬 생성실(22)의 전역에 걸쳐 균일하게 주입시키는 것을 가능하게 하고 있다. 도전성 다공판(26)을 설치함으로써 가스체류실(24)에 플라즈마가 발생하는 것을 억제할 수 있다. 래디컬의 수명을 길게 하고, 그 밀도를 높게 하기 위하여 래디컬 생성실(22)의 내벽을 전기절연성 물질로 둘러싸는 쪽이 좋고, 따라서 다공판(27)은 전기절연성을 가지는 물질로 구성되어 있다.
또 나선형상 전극(23)은 유도전극이며, 넓은 면적에서의 플라즈마발생이 가능하다. 그 만큼 넓은 면적의 기판에 성막가능하다.
이상 설명한 래디컬조사 플라즈마 CVD 장치(A)에 의하면 다음과 같이 피성막 기판(S)에 막을 형성할 수 있다.
먼저, 기판반입시, 기판홀더(12)를 미리 하강시켜 대기시켜 두고, 도시를 생략한 기판 반입반출기구를 사용하여 기판 반입반출용 개구(4)로부터 피성막 기판 (S)를 반입하여 기판홀더(12)상에 얹어 놓는다. 그리고 기판 반입반출기구가 진공케이스(C) 밖으로 나가면 게이트밸브(GA)를 폐쇄하고 홀더(12)를 성막위치로 상승시키고 진공배기장치(31)를 작동시켜 성막실(1) 및 래디컬 조사장치(2)내를 소정의 감압상태로 한다.
그 후, 래디컬 조사장치(2)의 래디컬 원료가스 도입파이프(25)로부터 래디컬원료가스를 래디컬 원료가스 체류실(24)에 유입시키고, 그곳에 래디컬 원료가스를 체류시키면서 또한 유입하여 오는 가스의 압력으로 체류실내의 가스를 도전성 다공판(26) 및 절연성 다공판(27)을 거쳐 래디컬 생성실(22)에 균일하게 흘러 들어 오게 한다. 또 고주파 전원(PS2)을 온(on)으로 하여 나선형상 고주파 유도전극(23)에 전력을 공급한다. 이와 같이 하여 래디컬 원료가스를 여기, 해리시켜 그 플라즈마 (PL2)를 생성한다.
래디컬 생성실(22)에서 플라즈마(PL2)가 생성되면, 전자, 이온의 하전입자는 전기절연성 다공판(21)의 구멍(211)을 지나기 어렵고, 실질상 전기적으로 중성인 중성래디컬(RA)만이 구멍(211)을 빠져 나가 성막실(1)에 조사된다. 조사된 래디컬 (RA)은 피성막 기판(S)의 피성막 영역의 각 부에 균일하게 도달하고, 이 예에서는 먼저 피성막 영역을 세정하거나, 댕글링본드를 없애거나 한다.
이와 같이 기판(S)에 중성래디컬을 조사개시한 후에 성막용 가스도입 파이프(11)로부터 성막용 가스를 성막실(1)내에 소정량 도입하면서 고주파 전원 (PS1)을 온으로 하고, 매칭박스(MB1)를 개재하여 원통형상 전극(13)에 고주파 전력을 인가하여 성막용 가스플라즈마(PL1)를 생성한다. 이 플라즈마(PL1)는 주로 기판 (S)의 둘레 가장자리부 근방에 형성된다. 이 때 원통형상 전극(13)에 인가되는 고주파 전력은 나선형상 고주파 유도전극(23)에 인가되는 고주파 전력보다도 높은 주파수이고 또한 낮은 고주파 전력이다. 이들에 의해 성막용 가스플라즈마(PL1)의 플라즈마 포텐셜을 낮게 억제하고 고속이온의 기판에 대한 돌입을 억제하여 기판에 손상을 주기 어렵게 하면서 양질의 박막의 성막에 요구되는 플라즈마 밀도를 얻을 수 있다.
또 래디컬 조사장치(2)에 있어서는 고주파 전극(고주파 안테나)(23)에 인가하는 고주파 전력의 크기를 원통형상 전극(13)에 인가하는 고주파 전력의 크기보다 크게 하고[또는 주파수를 전극(13)에 인가하는 것보다 낮게 하여], 이에 따라 양질의 박막형성에 요구되는 충분한 양의 래디컬을 생성시킨다.
이와 같이 하여 기판(S)의 성막 대상영역 전체에 래디컬 조사장치(2)로부터 중성래디컬을 균일하게 조사하는 것과, 기판(S) 근방에 성막용 가스플라즈마를 생성시키는 것을 병용하여 기판(S)의 위에 원하는 양질의 박막을 형성할 수 있다.
피성막 기판(S)에 대한 성막처리가 완료된 후, 기판홀더(12)를 하강시켜 게이트밸브(GA)를 개방하고 기판 반입반출기구를 사용하여 기판(S)을 진공케이스 내지 진공박스(C) 밖으로 반출한다.
래디컬조사 플라즈마 CVD 장치(A)의 래디컬 조사장치(2)는 플라즈마 생성장치로서 나선형상 고주파 유도전극(23)을 사용하고 있으나, 그것에 한정되는 것이 아니다. 예를 들어 도 2에 나타내는 바와 같이 플라즈마 조사장치의 플라즈마 생성장치에 필라멘트(23a)를 사용하고, 이것에 전원(PW1)으로부터 전력공급할 수 있도록 한 래디컬조사 플라즈마 CVD 장치(A')나, 도 3에 나타내는 바와 같이 마이크로파원(PW2)으로부터 마이크로파를 공급하는 마이크로파 발생장치(23b)를 사용한 래디컬조사 플라즈마 CVD 장치(A")를 사용하여 박막형성하여도 된다. 또한 CVD 장치 (A', A")는 플라즈마 조사장치(2)에 있어서의 플라즈마 생성장치 이외는 CVD 장치 (A)와 실질상 동일구조를 가지고 있고, 동일한 부분에는 장치(A)와 동일한 부호를 부착하고 있다.
필라멘트(23a)를 사용하여 래디컬 원료가스 플라즈마(PL2)를 생성하는 경우, 필라멘트(23a)는 래디컬 생성실(22)내에 균일하게 분산시켜 설치되어 있고, 생성실 (22)에 직접 열전자를 방출함으로써 가스를 여기·해리하기 때문에 따라서 체류실 (24)에서 플라즈마가 생성되는 일은 없기 때문에, 플라즈마 CVD 장치(A)에서 사용한 도전성 다공판(26)을 설치하지 않아도 된다. 마이크로파 발생장치(23b)를 사용하는 경우도 그 장치(23b)로서 래디컬 생성실(22)내에 균일하게 마이크로파를 도입할 수 있는 것을 채용한다. 도 3에 나타내는 마이크로파 발생장치(23b)는 공통의 마이크로파 도파관에 복수의 안테나를 균일하게 분산형성한 것이다.
또 도 1에 나타내는 플라즈마 CVD 장치(A)에서는, 성막실(1)과, 래디컬 조사장치(2)가 동일한 진공케이스(C)에 설치되어 있었으나, 각각 다른 진공케이스 내지 진공박스로 제공되고 있어 래디컬 조사장치에 포함되는 전기절연성 다공판을 개재하여 그들 케이스 내지 박스가 접속되어 있는, 바꾸어 말하면 성막실과 래디컬 조사장치가 접속되어 있는 구조이어도 된다.
다음으로, 도 1에 나타낸 래디컬조사 플라즈마 CVD 장치(A)를 사용하여 기판의 표면에 실리콘막을 형성하는 실험예에 대하여 설명한다. 아울러 도 4에 나타내는 평행 평판형 플라즈마 CVD 장치(B)를 사용하여 성막하는 비교 실험예에 대해서도 설명한다.
실험예 1
도 1의 래디컬조사 플라즈마 CVD 장치(A)를 사용하는 경우;
1) 성막용 가스플라즈마화 조건
여기법 : 고주파 여기(주파수 : 100 MHz, 100 W)
가스종류 : SiH4(100%)
성막가스압 : 1 ×10-3Torr
2) 중성래디컬 조사조건
여기법 : 나선형상 고주파 유도전극(주파수 : 27 MHz, 500 W)
래디컬원료 가스종 : H2
3) 기판(S) : 무알칼리 유리기판(폭 500 mm × 길이 600 mm)
4) 기판온도 : 300℃
5) 성막 막두께 : 500 Å
비교 실험예 1
도 4의 평행 평판형 플라즈마 CVD 장치(B)를 사용하는 경우;
1) 가스플라즈마화 조건
여기법류 : 고주파 여기(주파수 : 13.56 MHz, 150 W)
가스종류 : SiH4(50%), H2(50%)의 혼합가스
성막가스압 : 2 ×10-1Torr
2) 기판(S) : 무알칼리 유리기판, Si - Wafer〈100〉
3) 기판온도 : 300℃
4) 성막 막두께 : 500 Å
실험예 1 및 비교 실험예 1에 의해 얻어진 각 실리콘막에 대하여, 푸리에변환 적외분광법(FT-lR), X선 회절법(XRD) 및 레이저 라만분광법에 의해 수소농도측정 및 결정성평가를 행하여 전자이동도 측정을 행함으로써 디바이스특성을 평가하였다.
·FT - IR
파수 2000cm-1의 Si - H(Stretching-band)흡수피크 적분강도로부터 막중의 수소농도를 정량 분석한 바, 실험예 1의 각 막 샘플은 2 ×1020cm-3인 데 대하여 비교 실험예 1의 막 샘플은 2 ×1022cm-3이었다. 이와 같이 실험예 1에 의해 얻어진 막 샘플은 비교 실험예 1에 의한 것보다 수소농도가 현저하게 적었다.
·XRD
실험예 1에 의한 각 막샘플은, 111면(2θ= 28.2°) 및 220면(2θ= 47.2°)으로부터의 피크가 검출되어 실리콘(cubic)의 결정성이 확인되었다. 비교 실험예 1에 의한 막샘플은 아몰퍼스구조인 것이 확인되었다.
·레이저 라만분광법
실험예 1에 의한 각 막샘플은, 결정화 실리콘에 의한 피크(라만시프트 = 515내지 520cm-1)를 검출하여 100Å 내지 2000Å의 결정립이 확인되었다. 한편, 비교 실험예 1에 의한 막샘플은 아몰퍼스구조에 의한 피크(라만시프트 = 480cm-1)이 검출되었다.
·전자이동도
비교 실험예 1에 의한 막샘플이 0.1cm2/V·s의 전자이동도를 나타낸 데 대하여 실험예 1에 의한 막샘플에서는 결정립 직경 100Å의 것으로 0.5cm2/V·s, 결정립직경 2000Å의 것으로 50cm2/V·s의 전자이동도를 나타내었다.
또 도 1에 나타낸 래디컬조사 플라즈마 CVD 장치(A)를 사용하여 기판의 표면에 실리콘 산화막을 성막하는 실험예에 대하여 설명한다. 아울러 도 4에 나타내는 평행 평판형 플라즈마 CVD 장치(B)를 사용하여 성막하는 비교 실험예에 대해서도 설명한다.
실험예 2
도 1의 래디컬조사 플라즈마 CVD 장치(A)를 사용하는 경우;
1) 성막용 가스플라즈마화 조건
여기법 : 고주파 여기(주파수 : 100 MHz, 100 W)
가스종류 : SiH4(100%)
성막가스압 : 1 ×10-3Torr
2) 중성래디컬 조사조건
여기법 : 나선형상 고주파 유도전극(주파수 : 27 MHz, 500 W)
래디컬원료 가스종 : N2O (50%), O2(50%)의 혼합가스
3) 기판(S) : N형 실리콘 웨이퍼(직경 12인치)
4) 기판온도 : 300℃
5) 성막 막두께 : 1000Å
비교 실험예 2
도 4의 평행 평판형 플라즈마 CVD 장치(B)를 사용하는 경우;
1) 가스플라즈마화 조건
여기법 : 고주파 여기(주파수 : 13.56 MHz, 200 W)
가스종류 : SiH4(10%), N2O(45%), O2(45 %)의 혼합가스
성막가스압 : 2 × 10-1Torr
2) 기판(S') : N 형 실리콘 웨이퍼
3) 기판온도 : 300℃
4) 성막 막두께 : 1000Å
실험예 2 및 비교 실험예 2에 의해 얻어진 실리콘 산화막에 대하여 C-V 측정 (용량 - 전압측정), I - V 측정(전류 - 전압측정)을 행함으로써 디바이스특성을 평가하였다.
C - V 측정에 의해 측정된 결함밀도는 비교 실험예 2에 의한 막샘플에서는 5 ×1011cm-3이었던 데 대하여, 실험예 2의 막샘플에서는 5 × 1010cm-3이었다. 이와 같이 본 발명 실험예 2에 의해 얻어진 막샘플은 비교 실험예 2에 의한 것보다 결함밀도가 현저하게 낮았다.
I - V 측정에 의해 측정된 내전압은 비교 실험예 2에 의한 막샘플에서는 7 MV/cm 이었던 데 대하여, 실험예 2에서는 8 MV/cm 이었다. 또 누출전류는 비교 실험예 2에 의한 막샘플에서는 1 ×10-11A/cm2이었던 데 대하여, 실험예 2에서는 1 ×10-12A/cm2였다. 이와 같이 본 발명 실험예 2에 의해 얻어진 막샘플은 비교 실험예 2에 의한 것보다 내전압이 높고, 누출전류가 현저하게 감소되는 것이 확인되었다.
본 발명에 의하면 해리 에너지가 서로 다른 성막용 가스와 래디컬 원료가스를 사용하여 막을 형성하는 경우에 있어서, 각 가스의 해리를 각각 제어하여 각 가스의 과잉해리에 의한 이온의 다량발생이나 높은 플라즈마 포텐셜에 의한 막의 손상을 억제하면서 고품위의 막을 형성할 수 있고, 또한 넓은 면적에 균일하게 양질의 막을 형성할 수 있는 박막형성방법 및 장치를 제공할 수 있다.

Claims (14)

  1. 기판홀더를 설치한 성막실과, 이 성막실에 연달아 설치되어 상기 기판홀더에 설치되는 피성막 기판의 성막 대상영역 전체에 균일하게 중성래디컬을 조사하는 래디컬 조사장치를 준비하는 단계와;
    상기 기판홀더에 피성막 기판을 설치하는 단계와;
    상기 성막실에 소정의 성막용 가스를 도입하여 상기 기판홀더에 설치한 피성막 기판의 근방에 성막용 가스플라즈마를 형성하는 단계와;
    상기 래디컬 조사장치에 있어서 소정의 래디컬 원료가스를 여기, 해리하여 중성래디컬을 생성시킴과 더불어 상기 래디컬을 상기 피성막 기판의 성막 대상영역에 균일하게 조사하는 단계를 포함하는 피성막 기판에 소정의 박막을 형성하는 박막형성방법.
  2. 제 1항에 있어서,
    상기 성막실에 있어서의 상기 피성막 기판 근방의 성막용 가스플라즈마의 형성을 상기 피성막 기판의 둘레 가장자리부를 면하는 통형상 전극 또는 링형상 전극에 대한 가스플라즈마화용 전력인가에 의해 행하는 박막형성방법.
  3. 제 1항 또는 제 2항에 있어서,
    상기 래디컬 조사장치로서, 상기 기판홀더에 설치되는 피성막 기판의 성막 대상영역의 전체를 면하고, 이 성막 대상영역의 전체에 균일하게 래디컬을 조사하기 위한 전기절연성 다공판을 가지는 것을 사용하는 박막형성방법.
  4. 제 1항에 있어서,
    상기 성막실에 있어서의 성막용 가스의 플라즈마화 및 상기 래디컬 조사장치에 있어서의 래디컬 원료가스의 여기, 해리를 모두 그들 가스의 각각에 대한 고주파 전력인가에 의한 가스플라즈마화에 의해 행하고, 이 가스플라즈마화에 있어서 상기 래디컬 조사장치에 있어서는 래디컬 원료가스 플라즈마화를 위해 인가하는 고주파 전력을 상기 성막실에 있어서 성막용 가스플라즈마화를 위해 인가하는 고주파 전력보다 크게 하는 박막형성방법.
  5. 제 1항 또는 제 4항에 있어서,
    상기 성막실에 있어서의 성막용 가스의 플라즈마화 및 상기 래디컬 조사장치에 있어서의 래디컬 원료가스의 여기, 해리를 모두 그들 가스의 각각에 대한 고주파 전력인가에 의한 가스플라즈마화에 의하여 행하고, 이 가스플라즈마화에 있어서 상기 성막실에 있어서는 성막용 가스플라즈마화를 위해 인가하는 고주파 전력의 크기를 막의 손상을 야기하는 하전입자의 발생을 억제하는 크기로 유지함과 더불어, 상기 고주파 전력의 주파수를 상기 래디컬 조사장치에 있어서 래디컬 원료가스 플라즈마화를 위해 인가하는 고주파 전력의 주파수보다 높게 하는 박막형성방법.
  6. 제 1항에 있어서,
    상기 성막용 가스로서 실리콘계 가스를 사용하고, 상기 래디컬 원료가스로서 반응성의 래디컬 원료가스를 사용하여 실리콘계 박막을 형성하는 박막형성방법.
  7. 제 1항에 있어서,
    상기 래디컬 조사장치로부터의 래디컬조사를 개시한 후에 상기 성막용 가스의 플라즈마를 형성하여 소정의 박막을 형성하는 박막형성방법.
  8. 성막실과; 이 성막실에 연달아 설치된 래디컬 조사장치와; 상기 성막실내에 설치된 기판홀더와; 이 기판홀더에 설치되는 피성막 기판의 근방에 소정의 성막용가스의 플라즈마를 형성하는 성막용 가스플라즈마 생성장치를 구비하고 있고,
    상기 래디컬 조사장치는 상기 기판홀더에 설치되는 피성막 기판의 성막 대상영역의 전체를 면하고 소정의 래디컬 원료가스를 여기, 해리하여 중성래디컬을 생성하여, 상기 기판의 성막 대상영역의 전체에 균일하게 상기 래디컬을 조사하는 박막형성장치.
  9. 제 8항에 있어서,
    상기 성막용 가스플라즈마 생성장치는, 상기 성막실로 도입되는 성막용 가스를 플라즈마화하는 전력인가용 전극을 포함하고 있고, 이 전극은 상기 기판홀더에 설치되는 피성막 기판의 둘레 가장자리부를 면하는 통형상 전극 또는 링형상 전극인 박막형성장치.
  10. 제 8항 또는 제 9항에 있어서,
    상기 래디컬 조사장치는 상기 기판홀더에 설치되는 피성막 기판의 성막 대상영역의 전체를 면하고, 이 성막 대상영역의 전체에 균일하게 래디컬을 조사하기 위한 전기절연성 다공판과; 이 다공판으로 상기 성막실에서 칸막이된 래디컬 생성실과; 이 래디컬 생성실내에 전체적으로 래디컬 원료가스의 여기, 해리상태를 형성하는 래디컬 원료가스 여기·해리장치를 포함하고 있는 박막형성장치.
  11. 제 10항에 있어서,
    상기 래디컬 생성실은 래디컬조사를 위한 상기 전기절연성 다공판을 벽체의 일부로 하는 전기절연성 벽체로 둘러싸인 공간으로 형성되어 있고, 상기 래디컬 원료가스 여기·해리장치는 이 래디컬 생성실로 도입되는 래디컬 원료가스에 전체적으로 가스플라즈마화용 전력을 인가하는 전극을 포함하고 있는 박막형성장치.
  12. 제 11항에 있어서,
    상기 래디컬 생성실은 래디컬조사를 위한 상기 전기절연성 다공판과; 이 다공판에 소정거리를 두고 대향하는 래디컬 원료가스 도입용 전기절연성 다공판과; 이들 양 다공판 사이영역을 둘러싸는 전기절연성 둘레 측벽으로 둘러싸인 공간으로형성되어 있는 박막형성장치.
  13. 제 12항에 있어서,
    상기 래디컬 원료가스 여기·해리장치는, 상기 래디컬 원료가스에 전체적으로 가스플라즈마화용 전력을 인가하는 전극으로서, 상기 래디컬 생성실의 바깥쪽에 서 상기 래디컬 원료가스 도입용 전기절연성 다공판을 면하여 설치된 나선형상 고주파 유도전극을 포함하고 있는 박막형성장치.
  14. 제 13항에 있어서,
    상기 나선형상 고주파 유도전극을 사이로 하고 상기 래디컬 원료가스 도입용 전기절연성 다공판에 대향하는 래디컬 원료가스 도입가능한 도전성 다공판이 설치되어 있으며, 이 도전성 다공판의 바깥쪽에 래디컬 원료가스의 체류실이 형성되어 있고, 래디컬 원료가스는 상기 체류실, 도전성 다공판, 상기 래디컬 생성실을 형성하고 있는 래디컬 원료가스 도입용 전기절연성 다공판을 개재하여 상기 래디컬 생성실내로 도입되는 박막형성장치.
KR10-2000-0054186A 1999-09-16 2000-09-15 박막형성방법 및 장치 KR100487593B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP26193299A JP3514186B2 (ja) 1999-09-16 1999-09-16 薄膜形成方法及び装置
JP11-261932 1999-09-16

Publications (2)

Publication Number Publication Date
KR20010030398A true KR20010030398A (ko) 2001-04-16
KR100487593B1 KR100487593B1 (ko) 2005-05-03

Family

ID=17368709

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0054186A KR100487593B1 (ko) 1999-09-16 2000-09-15 박막형성방법 및 장치

Country Status (4)

Country Link
US (1) US6383896B1 (ko)
JP (1) JP3514186B2 (ko)
KR (1) KR100487593B1 (ko)
TW (1) TW539762B (ko)

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3514186B2 (ja) * 1999-09-16 2004-03-31 日新電機株式会社 薄膜形成方法及び装置
US6972223B2 (en) * 2001-03-15 2005-12-06 Micron Technology, Inc. Use of atomic oxygen process for improved barrier layer
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
NL1019781C2 (nl) * 2002-01-18 2003-07-21 Tno Deklaag alsmede werkwijzen en inrichtingen voor de vervaardiging daarvan.
AU2003284598A1 (en) * 2002-11-20 2004-06-15 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
JP4762945B2 (ja) * 2003-08-27 2011-08-31 Nuエコ・エンジニアリング株式会社 カーボンナノウォール構造体
EP1661855A4 (en) * 2003-08-27 2012-01-18 Mineo Hiramatsu PROCESS FOR PRODUCING CARBON NANOPAROI, CARBON NANOPAROI, AND PRODUCTION APPARATUS THEREOF
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
KR101060609B1 (ko) * 2004-06-29 2011-08-31 엘지디스플레이 주식회사 액정표시장치용 제조장치
JP5014597B2 (ja) * 2005-06-16 2012-08-29 株式会社アルバック 表面酸化処理装置及びトンネル接合素子の製造方法
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
JP5309426B2 (ja) * 2006-03-29 2013-10-09 株式会社Ihi 微結晶シリコン膜形成方法及び太陽電池
WO2008042691A2 (en) * 2006-09-29 2008-04-10 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US7758718B1 (en) * 2006-12-29 2010-07-20 Lam Research Corporation Reduced electric field arrangement for managing plasma confinement
WO2008096981A1 (en) * 2007-02-06 2008-08-14 Sosul Co., Ltd. Apparatus for forming a layer
EP1976346A1 (en) * 2007-03-30 2008-10-01 Ecole Polytechnique Apparatus for generating a plasma
EP2151509A1 (en) * 2008-08-04 2010-02-10 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5735232B2 (ja) * 2010-08-02 2015-06-17 株式会社イー・エム・ディー プラズマ処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014086516A (ja) * 2012-10-22 2014-05-12 Canon Inc ラジカルを供給する供給装置、リソグラフィ装置、及び物品の製造方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6595335B2 (ja) * 2015-12-28 2019-10-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0639708B2 (ja) * 1987-11-06 1994-05-25 富士通株式会社 薄膜製造方法及び薄膜製造装置
JPH0215174A (ja) * 1988-07-01 1990-01-18 Canon Inc マイクロ波プラズマcvd装置
JPH03100178A (ja) 1989-09-14 1991-04-25 Fujitsu Ltd 薄膜形成装置
JPH069297A (ja) * 1991-12-09 1994-01-18 Sumitomo Electric Ind Ltd 成膜装置
JPH09111460A (ja) * 1995-10-11 1997-04-28 Anelva Corp チタン系導電性薄膜の作製方法
JP3129265B2 (ja) * 1997-11-28 2001-01-29 日新電機株式会社 薄膜形成装置
JP3514186B2 (ja) * 1999-09-16 2004-03-31 日新電機株式会社 薄膜形成方法及び装置

Also Published As

Publication number Publication date
US6383896B1 (en) 2002-05-07
JP3514186B2 (ja) 2004-03-31
TW539762B (en) 2003-07-01
JP2001081570A (ja) 2001-03-27
KR100487593B1 (ko) 2005-05-03

Similar Documents

Publication Publication Date Title
KR100487593B1 (ko) 박막형성방법 및 장치
US7763153B2 (en) Method and apparatus for forming a crystalline silicon thin film
KR100476039B1 (ko) 유도결합형 플라즈마 cvd 장치
JP4382265B2 (ja) 酸化シリコン膜の形成方法及びその形成装置
US8883269B2 (en) Thin film deposition using microwave plasma
US20100210093A1 (en) Method for forming silicon-based thin film by plasma cvd method
KR101043009B1 (ko) 실리콘 도트 형성방법 및 장치 및 실리콘 도트 및 절연막부착 기판의 형성방법 및 장치
US6172322B1 (en) Annealing an amorphous film using microwave energy
JPH08333684A (ja) 堆積膜の形成方法
EP0976846A1 (en) Thin film forming device for forming silicon thin film having crystallinity
JP3062589B2 (ja) ラジカル制御による薄膜形成方法
KR900007050B1 (ko) 반도체 장치의 제조방법
JP2002241945A (ja) 薄膜形成装置
JP2002008982A (ja) プラズマcvd装置
JP2013033828A (ja) 成膜方法
WO2011040537A1 (ja) プラズマ処理方法およびプラズマ処理装置
JP2608456B2 (ja) 薄膜形成装置
Kim et al. Electrical properties of bulk silicon dioxide and SiO 2/Si interface formed by tetraethylorthosilicate (TEOS)-oxygen plasma enhanced chemical vapor deposition
JP3980274B2 (ja) 化学気相成長装置およびそれを用いた成膜方法
WO2003023842A1 (fr) Procede et dispositif de fabrication d'un film a permittivite faible et appareil electronique faisant intervenir ce film
JPH11150284A (ja) 多結晶シリコン薄膜の製造方法
JPH01766A (ja) 半導体装置
Suzuki et al. Silicon dioxide film deposited by photoassisted microwave plasma CVD using TEOS
Chaudhary et al. Study of transition regime for amorphous to nano-crystalline silicon thin films using 27.12 MHz PECVD: Insight into plasma kinetics
JPH1161418A (ja) プラズマcvd装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20030421

Effective date: 20041129

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20091125

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee