KR20060120707A - Cvd 막 특성들의 개선을 위한 에지 플로우 면판 - Google Patents

Cvd 막 특성들의 개선을 위한 에지 플로우 면판 Download PDF

Info

Publication number
KR20060120707A
KR20060120707A KR1020067013711A KR20067013711A KR20060120707A KR 20060120707 A KR20060120707 A KR 20060120707A KR 1020067013711 A KR1020067013711 A KR 1020067013711A KR 20067013711 A KR20067013711 A KR 20067013711A KR 20060120707 A KR20060120707 A KR 20060120707A
Authority
KR
South Korea
Prior art keywords
holes
flowing
gases
gas
gas distribution
Prior art date
Application number
KR1020067013711A
Other languages
English (en)
Inventor
모솅 차오
런 츠에이
주안 칼로스 로샤-알바레즈
탐 케이. 초
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060120707A publication Critical patent/KR20060120707A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명에 따른 실시예들은 가공물 표면 상부에 처리 가스들을 분배하는 장치들과 방법들에 관한 것이다. 본 발명의 일 실시예에 따라, 처리 가스들은 다수의 홀들 또는 개구들을 규정하는 실질적으로 원형의 가스 분배 샤워헤드를 통해 반도체 웨이퍼의 표면으로 흐른다. 면판의 중심에 위치된 제 1 세트의 홀들은 반경 대칭을 나타내지 않는 비-동심적 방식으로 배치된다. 이러한 비대칭 배치는 최대 밀도의 오리피스들 및 이에 분배되는 가스들을 달성한다. 제 1 홀 세트로부터 흐르는 가스들에 대한 웨이퍼 에지들의 불균일한 노출을 보상하기 위해, 상기 면판 둘레는 동심적으로 배치되고 반경 대칭을 나타내는 제 2 세트의 홀들을 규정한다. 상기 제 1 및 제 2 세트의 홀들을 통해 흐르는 가스들로 기판들을 처리하면 중심-대-에지 영역들에 대해 개선된 균일도를 나타내는 막들을 형성할 수 있다.

Description

CVD 막 특성들의 개선을 위한 에지 플로우 면판{EDGE FLOW FACEPLATE FOR IMPROVEMENT OF CVD FILM PROPERTIES}
본 출원은 2003년 12월 15일자 제출된 미국 가특허 출원 번호 60/529,819호를 우선권으로 청구하며, 이는 모든 목적을 위하여 본 발명에 참조로 포함된다.
모든 목적을 위하여 본 발명에 참조로 포함되는 미국특허 번호 제4,854,263호는 실리콘 질화물, 실리콘 산화물, 및 실리콘 옥시니트라이드와 같은 물질들의 플라즈마 강화 화학적 기상 증착(PECVD); 이러한 물질들을 증착하기 위한 평행판 PECVD 반응기들의 사용; 및 특히 평행판 반응기를 위한 가스 입구 매니폴드와, 고속으로 이러한 물질들을 증착하고 암모니아와 같은 많은 수소 함유 가스들을 이용함이 없이 실리콘 질화물 및 실리콘 옥시니트라이드를 증착하기 위해 상기 매니폴드 및 상기 반응기를 이용하는 방법을 기술한다.
상기 미국특허에서 기술되는 것처럼, 미국특허 번호 제4,854,263호는 다수의 개구들을 갖는 가스 입구 매니폴드 면판(faceplate)을 기술하고 있으며, 각각의 개구는 처리 측면으로부터 이격된 입구 카운터보어 및 상기 면판의 처리 측면 또는 챔버에서 출구를 포함하며, 상기 출구는 가스의 해리(dissociation) 및 반응을 향상시키기 위해 입구 보다 더 넓다. 상기 개구는 포물선형 또는 쌍곡선형 단면들 또는 현재 바람직한 원뿔형 단면을 포함하는 바람직하게는 다수의 임의의 오목 단면 구성들로 구성될 수 있다.
다른 실시예에서, 가스 입구 개구들은 오버랩핑/인터록킹 면-중심 육각형들의 어레이로서 조밀하게 패턴화될 수 있다. 개별적인 개구는 하나의 연관된 육각형의 에지를 규정하고 또한 연관된 제 2 육각형의 중심에 위치한다. 이러한 조밀한 구성은 패턴들, 줄무늬들(streaks) 또는 다른 불균일물들 없이 균일한 고속 증착을 촉진시킨다.
기판의 표면상에 화학적 기상 증착 물질들에 효과적인 반면에, 특정 화학적 기상 증착된 막들, 특히 탄소를 함유한 막들이 에지 부분들상에서 감소된 증착 속도를 나타낼 수 있다는 것이 인식되었다. 에지 부분들에서 이러한 증착 속도 차이는 결과적으로 증착 막의 균일도를 제어하기 어렵게 할 수 있다. 따라서, 기판 에지 부분들에서 더 향상된 균일도 특성들을 갖는 물질의 화학적 기상 증착을 허용하는 장치들 및 방법들을 위한 필요성이 종래기술에 존재한다.
본 발명에 따른 실시예들은 가공물(workpiece) 표면 상부에 처리 가스들을 분배하는 장치들 및 방법들에 관한 것이다. 본 발명의 일 실시예에 따라, 처리 가스들은 다수의 홀들 또는 개구들을 규정하는 실질적으로 원형의 가스 분배 샤워헤드를 통해 반도체 웨이퍼의 표면으로 흐른다. 면판의 중심에 위치된 제 1 세트의 홀들은 반경 대칭을 나타내지 않는 비-동심적 방식으로 배치된다. 이러한 비대칭 배치는 최대 밀도의 오리피스들 및 이에 분배되는 가스들을 달성한다. 제 1 홀 세트로부터 흐르는 가스들에 대한 웨이퍼 에지들의 불균일한 노출을 보상하기 위해, 상기 면판 둘레는 동심적으로 배치되고 반경 대칭을 나타내는 제 2 세트의 홀들을 규정한다. 상기 제 1 및 제 2 세트의 홀들을 통해 흐르는 가스들로 기판들을 처리하면 중심-대-에지 영역들에 대해 개선된 균일도를 나타내는 막들을 형성할 수 있다.
본 발명에 따른 장치의 실시예는 처리 챔버를 둘러싸는 벽들, 상기 챔버내에 위치된 웨이퍼 서셉터, 및 상기 챔버와 유체 소통되는 제 1 배출 도관을 포함한다. 처리 가스 소스는 실질적으로 원형의 가스 분배 샤워헤드를 통해 상기 챔버와 유체 소통된다. 상기 가스 분배 샤워헤드는 상기 샤워헤드의 반경에 비대칭인 중앙의 샤워헤드 영역에 위치된 제 1 세트의 홀들, 및 상기 반경에 대칭인 주변 샤워헤드 영역에 위치된 제 2 세트의 홀들을 포함한다.
반도체 기판상에 물질을 증착하기 위한 본 발명에 따른 방법의 일 실시예는 실질적으로 원형의 가스 분배 면판의 중심부에 있는 제 1 세트의 비-반경 대칭 홀들을 통해 기판의 중심 부분에 처리 가스들을 유동시키는 단계를 포함한다. 상기 처리 가스들은 실질적으로 원형의 가스 분배 면판의 주변부에 있는 제 2 세트의 반경 대칭 홀들을 통해 기판의 에지 부분으로 유동된다.
본 발명의 이러한 실시예들 및 다른 실시예들과 그 특징들 및 다른 잠재적인 장점들은 이하의 상세한 설명 및 첨부된 도면들과 연계하여 보다 상세히 설명된다.
도 1a는 예시적인 CVD 시스템의 간략화된 단면도이다.
도 1b는 도 1a의 CVD 시스템의 전개된 사시도를 나타낸다.
도 1c는 도 1a의 CVD 시스템의 다른 전개된 사시도를 나타낸다.
도 2는 본 발명에 따른 가스 분배 샤워헤드의 일 실시예의 하측면의 간략화된 평면도를 나타낸다.
도 2a는 도 2의 샤워헤드의 제 1 세트의 오리피스들의 비동심적인 배치를 나타내는 간략화된 개념도이다.
도 2b는 도 2의 샤워헤드의 제 2 세트의 오리피스들의 동심적인 배치를 나타내는 간략화된 개념도이다.
도 3a는 도 2의 가스 분배 샤워헤드에 나타낸 상기 제 1 세트로부터 오리피스의 간략화된 단면도를 도시한다.
도 3b는 도 2의 가스 분배 샤워헤드에 나타낸 상기 제 2 세트로부터 오리피스의 단면도를 도시한다.
도 4a는 비-반경으로 배향된 홀들만을 가진 종래의 면판을 이용하여 증착되는 BLOk™ 질소-함유 배리어 막의 두께 및 굴절률을 도시한다.
도 4b는 도 4a의 면판 보다 더 넓은 영역을 커버하도록 연장되는 비-반경 배향 홀들을 특징으로 하는 면판을 이용하여 증착된 BLOk™ 질소-함유 배리어 막의 두께 및 굴절률을 도시한다.
도 4c는 종래의 면판의 다수의 비-반경 배향 홀들과 반경-배향된 홀들이 조합된 면판을 이용하여 증착되는 BLOk™ 질소-함유 배리어 막의 두께 및 굴절률을 도시한다.
도 4d는 도 4b의 면판의 확장된 개수의 비-반경 배향 홀들과 반경 배향된 홀들이 조합된 면판을 이용하여 증착되는 BLOk™ 질소-함유 배리어 막의 두께 및 굴절률을 도시한다.
도 5a는 종래의 면판 설계의 제 1 세트의 홀들을 통해 시뮬레이션된 가스 흐름에 의해 나타나는 축방향 속도를 나타낸다.
도 5b는 본 발명에 따른 면판 설계의 일 실시예의 제 1 및 제 2 세트의 홀들을 통해 시뮬레이션된 가스 흐름에 의해 나타나는 압력 강하를 나타낸다.
본 발명의 방법이 수행될 수 있는 하나의 적절한 CVD 장치는 도 1a에 도시되며, 도 1a는 챔버 벽(15a) 및 챔버 리드 어셈블리(15b)를 포함하는 진공 또는 처리 챔버(15)를 갖는 CVD 시스템(10)의 수직 단면도이다. 챔버 벽(15a) 및 챔버 리드 어셈블리(15b)는 도 1b 및 도 1c에서 전개 사시도들에 나타낸다.
CVD 시스템(10)은 처리 챔버내에 중심을 가진 가열 페디스털(12)상에 안착되는 기판(미도시)에 처리 가스들을 분산시키기 위한 가스 분배 매니폴드(11)를 포함한다. 처리 동안, 예를 들어 반도체 웨이퍼와 같은 기판은 페디스털(12)의 평면(또는 다소 볼록면)의 표면(12a)(도 1b)상에 위치된다. 상기 페디스털은 하부의 로딩/오프-로딩 위치(미도시) 및 매니폴드(11)에 근접하게 인접한 상부 처리 위치(도 1a에 도시됨) 사이에서 제어가능하게 이동될 수 있다. 센터보드(미도시)는 웨이퍼들의 위치상에 정보를 제공하는 센서들을 포함한다.
증착 및 캐리어 가스들은 평탄한 원형 가스 분배 면판(13a)의 홀들(13b)을 통해 챔버(15)에 도입된다. 보다 구체적으로는, 증착 처리 가스들은 입구 매니폴드(11)를 통해 챔버로 흐르고(도 1a의 화살표 40으로 나타냄), 종래의 천공된 차단기 플레이트(42)를 통해 흐른 다음, 가스 분배 면판(13a)의 홀들(13b)을 통해 흐른다.
매니폴드에 도달하기 이전에, 증착 및 캐리어 가스들은 가스 전달 시스템(7)(도 1a)의 가스 공급 라인들(8)을 통해 가스 소스들(7a)로부터 혼합 시스템(9)으로 입력되어, 결합된 다음 매니폴드(11)로 전송된다. 일반적으로, 각각의 처리 가스에 대한 공급 라인은, (ⅰ) 챔버로의 처리 가스 흐름을 자동 또는 수동으로 차단(shut-off)하는데 사용될 수 있는 몇개의 안전 차단 밸브들(미도시), 및 (ⅱ) 공급 라인을 통한 가스 흐름을 측정하는 질량 유량 제어기들(미도시)을 포함한다. 유해 가스들(예를 들어, 산소 또는 할로겐화 가스)이 프로세스에 사용될 때, 몇개의 안전 차단 밸브들이 종래의 구성들의 각각의 가스 공급 라인에 위치된다.
CVD 시스템(10)에서 수행되는 증착 처리는 열처리 또는 플라즈마 강화 처리일 수 있다. 플라즈마 강화 처리에서, RF 전원(44)은 가스 분배 면판(13a)과 페디스털 사이에 전력을 인가하여 처리 가스 혼합물을 여기시킴으로써 면판(13a)과 페디스털 사이의 "반응 영역"으로 지칭되는 원통형 영역내에 플라즈마를 형성한다. 플라즈마의 구성물들은 페디스털(12)상에 지지되는 반도체 웨이퍼의 표면상에 목표된 막을 증착시키도록 반응한다. RF 전원(44)은 13.56 ㎒의 RF 고주파수(RF1) 및 360㎑의 RF 저주파수(RF2)에서 전형적으로 전력을 공급하는 합성 주파수 RF 전원으 로서, 진공 챔버(15)로 도입되는 반응종들의 분해를 향상시킨다. 열 처리에서, RF 전원(44)이 사용되지 않고, 처리 가스 혼합물은 반응을 위해 에너지를 제공하도록 저항성으로 가열되는 페디스털(12)상에 지지되는 반도체 웨이퍼의 표면상에 목표된 막들을 증착하기 위해 열적으로 반응한다.
플라즈마 강화 증착 처리 동안, 플라즈마는 배출 통로(23) 및 차단 밸브(24)를 둘러싸는 챔버 몸체(15a)의 벽들을 포함하는 처리 챔버(10) 전체를 가열한다. 플라즈마가 턴온되지 않을 때 또는 열 증착 처리 동안, 상승된 온도에서 챔버를 유지하기 위해 처리 챔버의 벽들(15a)을 통해 뜨거운 유체가 순환된다. 챔버 벽들(15a)을 가열하는데 사용되는 유체들은 전형적인 유체 타입들, 즉 물-기반의 에틸렌 글리콜 또는 오일-기반의 열 전달 유체들을 포함한다. 이러한 가열은 바람직하지 않은 반응 생성물들의 응축을 감소하거나 제거하는 장점이 있고, 냉각 진공 통로들의 벽들상에 응축되어 가스가 흐르지 않는 주기들 동안 처리 챔버로 재이동되는 것처럼 프로세스를 오염시킬 수 있는 다른 오염물들 및 처리 가스들의 휘발성 생성물들의 제거를 향상시키는 장점이 있다.
층에 증착되지 않는 반응 생성물들을 포함하는 가스 혼합물의 잔류물은 포어라인(foreline)(55)에 의해 배출 통로(23)에 연결된 진공 펌프(50)에 의해 챔버로부터 배출된다. 구체적으로는, 가스들은 반응 영역을 둘러싸는 환형의 슬롯 형상 오리피스(16)를 통해 환형 배출 플래넘(17)으로 배출된다. 환형 슬롯(16) 및 플래넘(17)은 챔버의 원통형 측벽(15a)(벽상의 상부 유전체 라이닝(19)을 포함함) 및 원형 챔버 리드(20)의 저면 사이의 갭에 의해 규정된다. 전형적으로, 슬롯 오리피 스(16)의 360°원형 대칭성 및 균일도는 웨이퍼상에 균일한 막을 증착하기 위해 웨이퍼 상부에 균일한 처리 가스들의 흐름을 달성하는데 중요하다.
배출 플래넘(17)으로부터, 배출 플래넘(17)의 측방향 연장부(21) 아래의 가스 흐름은 하향 연장되는 가스 통로(23)를 통해 시계 포트(viewing port)(미도시)를 통과하고, 진공 차단 밸브(24)(그 몸체는 하부 챔버 벽(15a)에 통합됨)를 통과하여, 포어라인(55)을 통해 외부 진공 펌프(50)에 연결된 배출 출구(25)로 향한다.
페디스털(12)의 웨이퍼 지지 플래터(platter)(바람직하게는 알루미늄, 세라믹, 또는 이들의 조합물)는 평행한 동심원들의 형태로 2번 완전 회전되도록 구성된 내장형 단일 루프 내장형 히터 엘리먼트를 이용하여 저항성으로 가열된다. 상기 히터 엘리먼트의 외부는 지지 플래터의 둘레에 인접하게 연장되고, 그 내부는 더 작은 반경을 가진 동심원의 경로에서 연장된다. 히터 엘리먼트에 대한 배선은 페디스털(12)의 스템(stem)을 관통한다.
전형적으로, 임의의 챔버 라이닝 또는 모든 챔버 라이닝, 가스 입구 매니폴드 면판, 및 다양한 다른 반응기 하드웨어는 알루미늄, 양극처리된(anodized) 알루미늄, 또는 세라믹과 같은 물질로 제조된다. 이러한 CVD 장치의 일 예는 "CVD Processing Chamber"란 명칭의 미국특허번호 제5,558,717호에 기술된다. 미국특허 번호 제5,558,717호는 출원인이 본 발명의 출원인이며, 모든 목적들을 위해 참조로 본 발명에 포함된다.
웨이퍼들이 챔버(10)의 측면의 삽입/제거 개구(26)를 통해 로봇 블레이드(미도시)에 의해 챔버 몸체 및 그 외부로 전달됨에 따라, 리프트 메커니즘과 모터(미 도시)는 가열된 페디스털 어셈블리(12)와 그 웨이퍼 리프트 핀들(12b)을 승강 및 하강시킨다. 모터는 처리 위치(14)와 하부 웨이퍼 로딩 위치 사이에서 페디스털(12)을 승강 및 하강시킨다. 모터, 공급 라인들(8)에 연결된 밸브들 또는 유량 제어기들, 가스 전달 시스템, 스로틀 밸브, RF 전원(44), 및 챔버와 기판 가열 시스템들은 모두 그 일부만이 도시된 제어 라인들(36)을 통해 시스템 제어기(34)(도 1a)에 의해 제어된다. 제어기(34)는 제어기(34)의 제어하에서 적정 모터들에 의해 이동되는 스로틀 밸브와 서셉터와 같은 이동식 기계적 어셈블리들의 위치를 결정하기 위해 광학 센서들로부터의 피드백에 의존한다.
일 실시예에서, 시스템 제어기는 하드 디스크 드라이브(메모리(38)), 플로피 디스크 드라이브 및 프로세서(37)를 포함한다. 상기 프로세서는 단일 보드 컴퓨터(SBC), 아날로그 및 디지털 입력/출력 보드들, 인터페이스 보드들 및 스텝퍼 모터 제어기 보드들을 포함한다. CVD 시스템(10)의 다양한 부분들은 보드, 카드 케이지, 및 커넥터 치수들과 타입들을 규정하는 Versa Modular European(VME) 표준과 일치한다. VME 표준은 또한 16비트 데이터 버스와 24비트 어드레스 버스를 갖는 것으로 버스 구조를 규정한다.
시스템 제어기(34)는 CVD 머신의 모든 동작들을 제어한다. 상기 시스템 제어기는 메모리(38)와 같은 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램인 시스템 제어 소프트웨어를 실행한다. 바람직하게는, 메모리(38)는 하드 디스크 드라이브이지만, 메모리(38)는 다른 종류의 메모리일 수도 있다. 컴퓨터 프로그램은 가스들의 도입 및 배출 타이밍, 가스들의 혼합, 챔버 압력, 챔버 온도, RF 전력 레 벨들, 서셉터 위치, 및 특정 프로세스의 다른 파라미터들을 지시하는 명령어 세트들(instruction sets)을 포함한다. 예를 들어, 플로피 디스크 또는 다른 적절한 드라이브를 포함하는 다른 메모리 디바이스들에 저장된 다른 컴퓨터 프로그램들이 제어기(34)와 동작되도록 사용될 수도 있다.
상기 반응기에 대한 설명은 주로 예시적인 목적들을 위한 것이며, 전자 사이클로트론 공명(ECR) 플라즈마 CVD 장치들, 유도 결합된 RF 고밀도 플라즈마 CVD 장치들 등과 같은 다른 플라즈마 CVD 장비가 사용될 수 있다. 부가적으로, 페디스털 설계, 히터 설계, RF 전력 주파수들, RF 전력 커넥션들의 위치 및 그 외들에서 변형들과 같이, 전술한 시스템의 변형예들이 가능할 수 있다. 예를 들어, 웨이퍼는 서셉터에 의해 지지될 수 있고 석영 램프들에 의해 가열될 수 있다. 층 및 본 발명의 층을 형성하기 위한 방법은 임의의 특정 장치나 임의의 특정 플라즈마 여기 방법으로 제한되지 않는다.
도 2는 본 발명에 따른 가스 분배 샤워헤드의 일 실시예의 하측면의 간략화된 평면도를 도시한다. 샤워헤드(13)의 하부면상의 가스 분배 면판(13a)은 2개의 별개의 영역들을 포함한다.
첫째로, 중심 영역(200)에서, 제 1 세트(206)의 홀들(13b)은 해당 웨이퍼 표면의 중심 영역들 상부에 균일한 두께의 층을 형성하기 위해 처리 가스들을 전달하도록 구성된다. 도 2a는 실질적으로 원형의 면판(13a)의 반경 r에 대해 비-동심적인 배향의 비대칭으로 제 1 세트(206)의 홀들(13b)의 배치를 나타내는 간략화된 개념도이다. 이러한 홀 배치는 홀들의 최대 밀도를 보장하므로, 이들을 통해 웨이퍼 표면으로 가스들을 유동시킨다.
둘째로, 주변 영역(202)에서, 제 2 세트(208)의 홀들(13c)은 웨이퍼 에지 부분들 상부에서 매칭되는 균일한 두께의 층을 형성하기 위해 처리 가스들을 전달하는 밀도로 구성된다. 도 2b는 실질적으로 원형의 면판(13a)의 반경 r에 대해 동심배향의 대칭으로 홀들(13c)의 배치를 나타내는 간략화된 개념도이다. 이러한 홀들의 배치는 웨이퍼 에지에 대한 균일한 가스들의 흐름을 보장하고, 균일한 특징과 특성들을 나타내는 웨이퍼 에지 영역들에서 물질을 형성할 수 있다. 하나의 특정 실시예에서, 제 2 세트의 홀들(13c)은 13.20"의 볼 서클(BC)을 갖도록 동심적으로 배향된다. 볼 서클의 치수는 면판의 크기와 유동 조건들에 따라 가변될 수 있다.
본 발명의 실시예들에 따라, 면판 에지에서의 홀들의 여분의 동심의 행(row)으로 인해 실질적으로 원형의 면판의 전체 크기는 변하지 않게 유지되지만, 처리된 기판은 마치 더 큰 직경의 면판으로부터 수행되는 것처럼 증착을 수행한다. 더욱이, 면판 둘레 상의 홀들의 부가는 챔버 내부의 플라즈마를 보다 균일하게 한다. 이러한 플라즈마 균일도는 예를 들어, 그 두께, 굴절률(RI) 및 유전상수(k)와 같은 증착된 막들의 특성에서 결과적으로 균일도를 향상시킨다.
제 2 세트의 홀들의 크기는 면판의 다른 부분에서 홀들의 크기와 동일할 수 있거나 상이할 수 있다. 홀들의 이러한 부가적인 동심-배향된 행은 웨이퍼 에지로 처리 가스들을 재분배할 수 있다. 웨이퍼 에지상의 증착 속도는 독립적으로 제어될 수 있다. 따라서, 우수한 웨이퍼 중심-대-에지 균일도를 나타내는 막들의 화학적 기상 증착(CVD)이 달성될 수 있다.
도 3a는 도 2에 나타낸 장치의 제 1 세트의 홀의 간략화된 단면도를 나타낸다. 본 발명에 따른 이러한 특정 실시예는 제 1 타입의 5,113개 홀들을 포함한다. 본 발명에 따른 실시예들은 이러한 개수의 홀들 또는 임의의 다른 특정 개수의 홀들로 제한되지 않는다.
제 1 세트의 홀들(13b)은 약 0.016+/-0.0005"의 직경 및 0.043"의 길이를 가진 압축(constriction) 또는 오리피스(13b)를 통해, 0.045-0.048"의 직경을 가진 출구 보어(302)를 유도하는 0.150"의 직경을 갖는 카운터보어(300)를 나타낸다. 이러한 홀들(13b)은 동심적으로 배향되는 것이 아니라, 샤워헤드의 X-Y 평면내에 규정된 행들에 따라 배향된다. 제 1 타입의 홀들의 개수 및 치수들은 면판의 크기와 유동 조건들에 따라 가변될 수 있다.
도 3b는 도 2에 도시된 장치의 제 2 세트의 홀(13c)의 간략화된 단면도를 도시한다. 본 발명에 따른 이러한 특정 실시예는 제 2 타입의 240개 홀들을 포함한다. 이러한 제 2 세트의 홀들(13c)은 약 0.020+/-0.0005"의 직경과 0.043"의 길이를 가진 압축 또는 오리피스(310)를 통해, 0.045-0.048"의 직경을 갖는 출구 보어(308)를 유도하는 0.060"의 직경을 갖는 카운터보어(306)를 나타낸다. 제 2 타입의 홀들의 개수 및 치수들은 면판의 크기와 유동 조건들에 따라 가변될 수 있다.
상술한 바와 같이, 본 발명의 실시예들에 따른 가스 분배 샤워헤드/면판 설계의 사용은 기판의 에지들에서 발생하는 처리의 균일도를 향상시킨다. 이하의 표 1은 도 2에 도시된 면판 실시예를 이용하는 CVD에 의해 증착된 물질의 특성들의 균일도가 동심으로 배향된 제 2 세트의 오리피스들이 없는 종래의 면판에 비해 개선 되었음을 나타내는 몇가지 예들이다.
CVD 막의 중심-대-에지 균일도
증착된 막 동심으로 배치된 오리피스들이 없는 면판 동심으로 배치된 오리피스들을 포함하는 면판
어플라이드 머티어리얼스의 BLOk™ 질소-함유 배리어 막 두께 균일도=2.3% 굴절률(RI) 범위=0.09 두께 균일도=1.2% 굴절률(RI) 범위=0.019
어플라이드 머티어리얼스의 Black Diamond™ 탄소-함유 낮은 K의 막 (제 1 증착 조건들) 두께 균일도=2.5% 두께 균일도=1.11%
어플라이드 머티어리얼스의 Black Diamond™ 탄소-함유 낮은 K의 막 (제 2 증착 조건들) 두께 균일도=5-10% 두께 균일도=1.5%
이하의 표 2 및 해당 도 4a-4d는 서로 다른 다양한 면판 설계들을 이용하여 증착된 BLOk™ 질소-함유 배리어 막의 균일도 특성들의 개선에 대해 보다 상세히 제공한다.
면판 타입
종래기술 확장됨 종래기술+ 반경 홀들 확장됨+ 반경 홀들
XY 홀들의 개수 4,933 5,365 4,933 5,113
반경 홀들의 개수 0 0 240 240
최외각 홀 볼 서클 12.60" ≤13.20" 13.08" 13.20"
도면 번호 4a 4b 4c 4d
% 두께 균일도 2.3 1.43 1.93 1.20
굴절률(RI) 범위 0.090 0.026 0.037 0.019
표 2 및 도 4a-4d는 종래의 면판 설계에 반경 배향된 홀들을 부가하는 것이 그러한 것처럼 비-반경 배향된 홀들에 의해 커버되는 영역의 확장이 두께 및 굴절률의 균일도를 개선시킴을 보여준다. XY 홀 영역의 확장과 반경 배향된 홀들을 결합한 면판 설계는 증착된 막의 균일도 특성들을 가장 크게 개선시켰다.
도 5a는 제 1 세트의 홀들만을 포함하는 종래의 면판을 통한 가스의 시뮬레이션된 흐름의 축방향 속도를 나타내는 단면도를 도시한다. 도 5b는 제 1 및 제 2 세트의 홀들을 특징으로 하는 본 발명에 따른 면판의 일 실시예를 통한 가스의 시뮬레이션된 흐름의 축방향 속도를 나타내는 단면도를 도시한다. 예시적인 설계에서, 제 2 세트의 홀들의 오리피스 크기는 제 1 세트의 홀들의 오리피스 크기 보다 더 크기 때문에, 제 2 세트의 홀들의 가스 전도가 더 크고 속도도 더 빠르다. 구체적으로는, 도 5a 및 도 5b의 비교는 제 2 세트의 홀로부터 웨이퍼의 에지 영역들에 흐르는 가스의 축방향 속도가 제 1 세트의 홀로부터 웨이퍼의 중심 영역들로 흐르는 축방향 속도의 약 2배임을 나타낸다. 이러한 시뮬레이션 결과들은 제 2 세트의 홀들이 웨이퍼의 에지로 여분의 가스 흐름을 전달하기 때문에, 유동량이 제 2 세트의 홀들의 오리피스들의 크기에 의해 제어될 수 있다는 것을 나타낸다.
가스 압력에 대한 부가적인 시뮬레이션은 2개의 세트들의 홀들을 특징으로 하는 본 발명에 따른 실시예들에 대해, 제 1 세트의 홀들에 대해 관찰된 압력 강하가 제 2 세트의 홀들에 대해 관찰된 압력 강하와 매우 유사함을 나타낸다. 제 1 및 제 2 세트의 홀들에 대한 이러한 압력 강하의 균일도는 웨이퍼상에 안정한 증착 조건을 형성하도록 돕는다.
본 발명에서 기술된 발명들은 기판으로 처리 가스를 분배하는 샤워헤드를 이용하는 임의의 기판 처리 시스템에서 사용될 수 있음을 이해해야 한다. 이것은 CVD 시스템들 뿐만 아니라 단지 몇몇 예들로서 에칭 및 세정 시스템들도 포함한다.
서로 다른 다양한 가스 타입들이 본 발명의 특성들을 나타내는 샤워헤드를 통해 유동될 수 있다. 본 발명에 따른 실시예들은 질소-함유 또는 탄소-함유 물질의 증착에 사용되는 바와 같이 질소 또는 탄소를 함유한 처리 가스들을 분배할 수 있다. 본 발명에 따른 실시예들은 챔버내에서 노출된 표면들로부터의 잔류물들을 세정하는데 사용하기 위한 다른 고 반응성 엘리먼트들 또는 불소 함유 가스들을 분배할 수도 있다.
본 발명에 따른 실시예들은 상술한 특정 면판 설계들에 제한되지 않는다. 예를 들어, 반경 배향된 홀들의 크기, 밀도 및 개수는 특정 애플리케이션의 요구에 따라 가변될 수 있다.
더욱이, 본 발명의 다른 실시예들에 따라, 상이한 통로들을 통해 반경 대칭 홀들 및 비-반경 대칭 홀들로 가스가 흐를 수 있다. 이러한 방식으로, 상이한 압력들 또는 속도들에서 면판의 중심 및 에지 영역들로 가스가 흐를 수 있기 때문에, 오퍼레이터가 기판 에지 영역들상의 물질의 증착에 대한 보다 정확한 제어를 수행할 수 있다.
본 발명의 특징들을 포함하는 다양한 실시예들이 도시되고 상세히 설명되었지만, 통상의 당업자는 이러한 특징들을 포함하는 많은 다른 변형 실시예들을 용이하게 안출할 수 있다. 예를 들어, 상술한 특정 실시예는 면판 둘레상에 동심으로 배향된 홀들의 단일 행을 특징으로 하지만, 본 발명은 이러한 구성에 제한되지 않는다. 대안적인 실시예들은 제 2 타입의 홀들의 하나 이상의 행을 사용할 수 있으며 이는 본 발명의 범주내에 있다.
상기에서 본 발명의 특정 실시예들을 상세히 설명하였지만, 다양한 변경들, 변형들 및 대안들이 사용될 수 있다. 이러한 등가물들 및 대안물들은 본 발명의 범주내에 포함된다. 따라서, 본 발명의 범주는 기술된 실시예들에 제한되는 것이 아니라 이하의 청구범위와 그 등가물들의 전체 범주에 의해 규정된다.

Claims (21)

  1. 처리 챔버를 둘러싸는 벽들;
    상기 챔버내에 위치된 웨이퍼 서셉터;
    상기 챔버와 유체 소통되는 제 1 배출 도관; 및
    실질적으로 원형의 가스 분배 샤워헤드를 통해 상기 챔버와 유체 소통되는 처리 가스 소스 - 상기 가스 분배 샤워헤드는,
    상기 샤워헤드의 반경에 비대칭인 샤워헤드 중심 영역에 위치된 제 1 세트의 홀들, 및
    상기 반경에 대칭인 샤워헤드 주변 영역에 위치된 제 2 세트의 홀들을 포함함 -
    를 포함하는 장치.
  2. 제 1 항에 있어서, 상기 가스 분배 샤워헤드는 300mm의 직경을 갖는 기판의 표면으로 가스를 전달하도록 구성되며, 상기 제 1 세트의 홀들은 약 5000개이고, 상기 제 2 세트의 홀들은 약 240개인 것을 특징으로 하는 장치.
  3. 제 1 항에 있어서, 상기 제 1 및 제 2 세트의 홀들은 입구 보어(inlet bore) 및 출구 보어(outlet bore) 보다 더 작은 폭을 갖는 오리피스(orifice)를 통해 출구 보어와 유체 소통되는 입구 보어를 포함하는 것을 특징으로 하는 장치.
  4. 제 3 항에 있어서, 상기 제 1 세트의 홀들의 오리피스는 약 0.016"의 직경을 갖고, 상기 제 2 세트의 홀들의 오리피스는 약 0.020"의 직경을 갖는 것을 특징으로 하는 장치.
  5. 제 3 항에 있어서, 상기 제 2 세트의 홀들은 웨이퍼 중심에 대한 볼 서클(ball circle)에서 중심들을 갖는 단일 행에 배치되는 것을 특징으로 하는 장치.
  6. 제 1 항에 있어서, 가스 소스에서 상기 제 1 세트의 홀들로 유도되는 제 1 가스 통로, 및 상기 가스 소스에서 상기 제 2 세트의 홀들로 유도되는 제 2 가스 통로를 더 포함하는 것을 특징으로 하는 장치.
  7. 제 1 항에 있어서, 상기 제 2 세트의 홀들은 상기 제 1 세트의 홀들을 통해 흐르는 가스에 의해 나타나는 축방향 속도의 약 2배인 축방향 속도를 갖는 가스가 웨이퍼의 에지 부분들로 흐르도록 구성되는 것을 특징으로 하는 장치.
  8. 반도체 기판상에 물질을 증착하기 위한 방법으로서,
    실질적으로 원형의 가스 분배 면판(faceplate)의 중심 부분에 있는 비-반경 대칭인 제 1 세트의 홀들을 통해 기판의 중심 부분으로 처리 가스들을 유동시키는 단계; 및
    상기 실질적으로 원형의 가스 분배 면판의 주변 부분에 있는 반경 대칭인 제 2 세트의 홀들을 통해 상기 기판의 에지 부분으로 상기 처리 가스들을 유동시키는 단계
    를 포함하는 반도체 기판상의 물질 증착 방법.
  9. 제 8 항에 있어서, 상기 처리 가스들은 상기 제 1 및 제 2 세트의 홀들을 통해 동시에 유동되는 것을 특징으로 하는 반도체 기판상의 물질 증착 방법.
  10. 제 8 항에 있어서, 상기 에지 부분으로 상기 처리 가스들을 유동시키는 단계는 상기 에지 부분에서 떨어진 가스들의 흐름을 보상하기 위해 부가적인 부피들의 처리 가스들을 유동시키는 단계를 포함하는 것을 특징으로 하는 반도체 기판상의 물질 증착 방법.
  11. 제 8 항에 있어서, 상기 처리 가스들을 유동시키는 단계는 상기 기판상에 고체 물질의 증착물을 형성하는 것을 특징으로 하는 반도체 기판상의 물질 증착 방법.
  12. 제 8 항에 있어서, 상기 제 1 및 제 2 홀들을 통해 상기 처리 가스들을 유동시키는 단계는 상기 증착된 물질에 의해 나타나는 두께, 굴절률, 및 유전상수 중 적어도 하나의 균일도를 개선하는 것을 특징으로 하는 반도체 기판상의 물질 증착 방법.
  13. 제 8 항에 있어서, 상기 제 2 세트의 홀들은 상기 제 1 세트의 홀들을 통해 흐르는 가스에 의해 나타나는 축방향 속도의 약 2배인 축방향 속도를 갖는 가스가 웨이퍼의 에지 부분들로 흐르도록 구성되는 것을 특징으로 하는 반도체 기판상의 물질 증착 방법.
  14. 제 12 항에 있어서, 상기 처리 가스들을 유동시키는 단계는 탄소-함유 물질의 증착을 달성하기 위해 탄소-함유 처리 가스들을 유동시키는 단계를 포함하는 것을 특징으로 하는 반도체 기판상의 물질 증착 방법.
  15. 제 14 항에 있어서, 상기 처리 가스들을 유동시키는 단계는 1.5% 이하의 두께 균일도를 나타내는 탄소-함유 실리콘 산화물의 낮은 유전상수(K) 유전체층의 증착을 달성하는 것을 특징으로 하는 반도체 기판상의 물질 증착 방법.
  16. 제 12 항에 있어서, 상기 처리 가스들을 유동시키는 단계는 질소-함유 물질의 증착을 달성하기 위해 질소-함유 처리 가스들을 유동시키는 단계를 포함하는 것을 특징으로 하는 반도체 기판상의 물질 증착 방법.
  17. 제 16 항에 있어서, 상기 처리 가스들을 유동시키는 단계는 0.02 이하의 굴 절률의 변화 범위를 나타내는 질소-함유 실리콘 산화물 배리어층의 증착을 달성하는 것을 특징으로 하는 반도체 기판상의 물질 증착 방법.
  18. 제 8 항에 있어서, 상기 처리 가스들을 유동시키는 단계는 불소-함유 처리 가스들을 유동시키는 단계를 포함하는 것을 특징으로 하는 반도체 기판상의 물질 증착 방법.
  19. 면판의 반경에 비대칭인 중심 영역에 위치된 제 1 세트의 홀들, 및 상기 반경에 대칭인 주변 영역에 위치된 제 2 세트의 홀들이 규정된 면판을 포함하는 원형의 가스 분배 샤워헤드.
  20. 제 19 항에 있어서, 상기 제 1 및 제 2 세트의 홀들은 입구 보어 및 출구 보어 보다 더 작은 폭을 갖는 오리피스를 통해 출구 보어와 유체 소통되는 입구 보어를 포함하는 것을 특징으로 하는 원형의 가스 분배 샤워헤드.
  21. 제 20 항에 있어서, 상기 제 1 세트의 홀들의 오리피스의 직경은 상기 제 2 세트의 홀들의 오리피스의 직경 보다 더 작은 것을 특징으로 하는 원형의 가스 분배 샤워헤드.
KR1020067013711A 2003-12-15 2004-12-14 Cvd 막 특성들의 개선을 위한 에지 플로우 면판 KR20060120707A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US52981903P 2003-12-15 2003-12-15
US60/529,819 2003-12-15

Publications (1)

Publication Number Publication Date
KR20060120707A true KR20060120707A (ko) 2006-11-27

Family

ID=34700052

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067013711A KR20060120707A (ko) 2003-12-15 2004-12-14 Cvd 막 특성들의 개선을 위한 에지 플로우 면판

Country Status (5)

Country Link
US (1) US20050126484A1 (ko)
KR (1) KR20060120707A (ko)
CN (1) CN100466162C (ko)
TW (1) TW200526800A (ko)
WO (1) WO2005059974A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150000597U (ko) * 2013-07-29 2015-02-06 어플라이드 머티어리얼스, 인코포레이티드 에지 균일성을 개선하기 위한 가스 확산기 홀 설계
CN110050333A (zh) * 2016-12-08 2019-07-23 应用材料公司 时间性原子层沉积处理腔室

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
WO2010136082A1 (en) * 2009-05-26 2010-12-02 Imec Method for forming an organic material layer on a substrate
CN102754190B (zh) * 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
JP2012119590A (ja) * 2010-12-02 2012-06-21 Mitsubishi Materials Corp プラズマ処理装置用電極板
US20130273239A1 (en) * 2012-03-13 2013-10-17 Universal Display Corporation Nozzle design for organic vapor jet printing
TWI524388B (zh) * 2013-12-27 2016-03-01 Hitachi Int Electric Inc A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
WO2015119737A1 (en) * 2014-02-06 2015-08-13 Applied Materials, Inc. Inline dps chamber hardware design to enable axis symmetry for improved flow conductance and uniformity
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10832936B2 (en) * 2016-07-27 2020-11-10 Lam Research Corporation Substrate support with increasing areal density and corresponding method of fabricating
CN109811406B (zh) * 2017-11-20 2021-09-17 北京北方华创微电子装备有限公司 石英件、工艺腔室和半导体处理设备
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4780169A (en) * 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6113698A (en) * 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
WO1999025895A1 (en) * 1997-11-17 1999-05-27 Symetrix Corporation Method and apparatus for misted deposition of thin films
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6263629B1 (en) * 1998-08-04 2001-07-24 Clark Schwebel Tech-Fab Company Structural reinforcement member and method of utilizing the same to reinforce a product
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6620289B1 (en) * 1999-04-27 2003-09-16 Applied Materials, Inc Method and apparatus for asymmetric gas distribution in a semiconductor wafer processing system
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
EP1629522A4 (en) * 2003-05-30 2008-07-23 Aviza Tech Inc GAS DISTRIBUTION SYSTEM

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150000597U (ko) * 2013-07-29 2015-02-06 어플라이드 머티어리얼스, 인코포레이티드 에지 균일성을 개선하기 위한 가스 확산기 홀 설계
CN110050333A (zh) * 2016-12-08 2019-07-23 应用材料公司 时间性原子层沉积处理腔室
CN110050333B (zh) * 2016-12-08 2023-06-09 应用材料公司 时间性原子层沉积处理腔室

Also Published As

Publication number Publication date
CN100466162C (zh) 2009-03-04
CN1902732A (zh) 2007-01-24
US20050126484A1 (en) 2005-06-16
TW200526800A (en) 2005-08-16
WO2005059974A1 (en) 2005-06-30

Similar Documents

Publication Publication Date Title
US7452827B2 (en) Gas distribution showerhead featuring exhaust apertures
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
CN106906453B (zh) 喷头组件
US7722719B2 (en) Gas baffle and distributor for semiconductor processing chamber
US7037376B2 (en) Backflush chamber clean
TWI390652B (zh) 用於半導體製程室之氣體擋板與分配器
KR20230133257A (ko) 다공성 베플을 갖는 저 볼륨 샤워헤드
KR20060120707A (ko) Cvd 막 특성들의 개선을 위한 에지 플로우 면판
JP7062383B2 (ja) アーク放電および点火を防ぎプロセスの均一性を向上させるための特徴を有する静電チャック
US7799704B2 (en) Gas baffle and distributor for semiconductor processing chamber
US20050252447A1 (en) Gas blocker plate for improved deposition
KR20160137404A (ko) 개선된 흐름 균일성을 위해 대면 플레이트 홀들을 갖는 저 볼륨 샤워헤드
KR100574116B1 (ko) 반도체 처리 시스템의 매엽식 처리 장치
US8048226B2 (en) Method and system for improving deposition uniformity in a vapor deposition system
EP1031641A2 (en) Method and apparatus for depositing an insulating film
EP1068371A1 (en) Deposition resistant lining for cvd chamber
KR20050084704A (ko) 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
JP2006324610A (ja) 基板処理装置及び基板処理方法
JP2000294538A (ja) 真空処理装置
US6306244B1 (en) Apparatus for reducing polymer deposition on substrate support
CN115110064A (zh) 一种气体输入设备和气体输入方法
KR20010062458A (ko) 가스 분배 통로를 갖는 벨자
TW202339551A (zh) 用於改善沉積厚度均勻性的噴淋頭組件及基板處理系統
KR20220153634A (ko) 고 전도도 프로세스 키트

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application