CN1902732A - 用于改进cvd膜性能的边流面板 - Google Patents

用于改进cvd膜性能的边流面板 Download PDF

Info

Publication number
CN1902732A
CN1902732A CNA2004800403492A CN200480040349A CN1902732A CN 1902732 A CN1902732 A CN 1902732A CN A2004800403492 A CNA2004800403492 A CN A2004800403492A CN 200480040349 A CN200480040349 A CN 200480040349A CN 1902732 A CN1902732 A CN 1902732A
Authority
CN
China
Prior art keywords
hole
gas
group
flows
aperture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800403492A
Other languages
English (en)
Other versions
CN100466162C (zh
Inventor
M·赵
L·崔
J·C·罗查-阿尔瓦雷斯
T·K·丘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1902732A publication Critical patent/CN1902732A/zh
Application granted granted Critical
Publication of CN100466162C publication Critical patent/CN100466162C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

依照本发明的实施例涉及在工件表面上分配处理气体的装置和方法。依照本发明的一个实施例,工艺气体通过形成有多个孔的基本圆形的气体分配喷头流向半导体晶片的表面。位于面板中心的第一组孔以不共心的方式排列且不呈径向对称。这种不对称排列使得孔和其中分配的气体达到最大密度。为了补偿所述晶片的边缘对从所述第一孔组流出的气体的不均匀暴露,所述面板周边形成第二组孔,所述第二组孔共心排列并呈径向对称。用流经所述第一和第二组孔的气体对衬底进行处理引起膜的形成,所述膜在从中心到边缘区域的均匀性得到改进。

Description

用于改进CVD膜性能的边流面板
相关申请的交叉引用
[0001]本美国非临时专利申请要求2003年12月15日提交的60/529,819号美国临时专利申请的优先权,其通过引用的方式为所有目的并入本说明书。
发明背景
[0002]美国专利第4,854,263号通过引用方式为所有目的并入本说明书,该专利描述了诸如氮化硅、氧化硅以及氮氧化硅之类材料的等离子增强型化学气相沉积(PECVD);用于沉积这些材料的平行板型PECVD反应器的使用;并且特别地,涉及为平行板型反应器而设的气体入口岐管以及使用所述岐管和所述反应器高速率沉积这些材料以及在不使用高氢含量的气体(例如氨气)的情况下沉积氮化硅和氮氧化硅的方法。
[0003]如其说明书所详细描述的,美国专利4,854,263号描述了气体入口岐管面板,此面板具有多个小孔,并且每个小孔包含一个出口,其位于所述盘的腔室或者处理侧处,和一个入口沉孔,其与所述处理侧间隔开,为了增强气体的离解和反应,所述出口大于所述入口。所述小孔可以设置成许多优选为凹状横截面轮廓之中的任何一个,包括抛物线或者双曲线横截面或者当前优选的锥形横截面。
[0004]在另一方面,所述气体入口小孔可以稠密地布置成覆盖/联锁的面心六边形阵列。一个单独的小孔形成一个关联的六边形的边并且还处于第二关联的六边形的中心。这种稠密配置有助于形成均匀高速率的沉积,而没有图案、条痕或者其它不均匀形态的出现。
[0005]虽然某些化学气相沉积膜,特别是那些含碳的化学气相沉积膜对衬底表面上的化学气相沉积材料有效,但是人们已经认识到,它在边缘部分上的沉积速率可能呈现下降。这种在边缘部分沉积速率的不同可能使结果产生的沉积膜的均匀性难于控制。因此,本技术领域对能在衬底的边缘部分化学气相沉积材料具有更高均匀特性的装置和方法存在需求。
发明概述
[0006]依照本发明的实施例涉及在工件表面之上分配处理气体的装置和方法。依照本发明的一个实施例,工艺气体通过设有多个孔或者小孔的基本圆形的气体分配喷头流到半导体晶片的表面。位于所述面板中心的第一组孔以不共心的方式排列且不呈径向对称。这种不对称的排列使孔口和其中分配的气体达到最大密度。所述晶片的边缘不均匀地暴露于从所述第一孔组流出的气体,为了对其补偿,所述面板周边形成有共心排列且呈径向对称的第二孔组。用流经所述第一和第二组孔的气体处理衬底,使得所形成的膜在中心到边缘区域的均匀性或均匀度显示得到提高。
[0007]依照本发明的装置的实施例包括围绕处理室的壁、位于所述室内的晶片基座、以及与所述室流体连通的第一排气管道。处理气体源通过基本圆形的气体分配喷头与所述室流体连通。所述气体分配喷头包括位于喷头中心区域并相对所述喷头的半径不对称的第一组孔,以及位于喷头周边区域并相对所述半径对称的第二组孔。
[0008]依照本发明,在半导体衬底上沉积材料的方法的实施例包括,使处理气体通过第一组孔流向衬底的中心部分,所述第一组孔呈径向不对称且处于基本圆形的气体分配面板的中心部分。所述处理气体通过第二组孔流向所述衬底的边缘部分,所述第二组孔呈径向对称且处于基本圆形的气体分配面板的周边部分。
[0009]本发明的这些和其它实施例,以及本发明的特征和一些潜在的优势结合以下的文字和附图更详细地描述。
图形的简要说明
[0010]图1A是示例性的CVD系统的简化剖视图。
[0011]图1B示出了图1A的CVD系统的分解透视图。
[0012]图1C示出了图1A的CVD系统的另一个分解透视图。
[0013]图2示出了依照本发明的气体分配喷头的一个实施例的下侧的简化平面图。
[0014]图2A是描述图2的喷头的第一组孔口的不共心排列的简化示意图。
[0015]图2B是描述图2的喷头的第二组孔口的共心排列的简化示意图。
[0016]图3A示出了图2的气体分配喷头中所示的来自第一组的孔口的简化剖视图。
[0017]图3B示出了图2的气体分配喷头中所示的来自第二组的孔口的剖视图。
[0018]图4A图示了使用只设有非径向对称定位的孔的常规面板沉积的BLOKTM含氮阻挡层膜的折射率和厚度的曲线图。
[0019]图4B图示了使用扩展覆盖面积比图4A的面板更大且以径向不对称定位的孔为特征的面板,沉积的BLOKTM含氮阻挡层膜的折射率和厚度的曲线图。
[0020]图4C图示了使用将径向定位的孔与常规面板的多个非径向定位的孔相结合的面板,沉积的BLOKTM含氮阻挡层膜的折射率和厚度的曲线图。
[0021]图4D图示了使用将径向定位的孔与图4B的面板的增加的(extended)多个非径向定位的孔相结合的面板,沉积的BLOKTM含氮阻挡层膜的折射率和厚度的曲线图。
[0022]图5A示出了通过常规面板设计的第一组孔的模拟气流显示的轴向速度。
[0023]图5B示出了通过依照本发明面板设计的第一和第二组孔的模拟气流所显示的压降。
本发明的详细描述
[0024]图1A示出了可以实施本发明的方法的一种合适的CVD装置,该图是CVD系统10的垂直剖视图,CVD系统10设有真空室或者处理室15,其包括室壁15a和室罩组件15b。室壁15a和室罩组件15b示于图1B和1C中的分解透视图中。
[0025]CVD系统10包含气体分配歧管11,其用于将工艺气体分散至位于所述工艺室中部的加热底座12上的衬底(未显示)。处理期间,所述衬底,例如半导体晶片,被置于底座12的平坦(或者轻微凸起)的表面12a(图1B)上。在下方的装/卸载位置(未显示)和上方的非常邻近歧管11的处理位置(如图1A所示)之间,所述底座可以可控制地移动。中插板(未显示)包括用于提供所述晶片所处位置上的信息的传感器。
[0026]沉积气体和载气通过平坦的圆形气体分配面板13a的孔13b(图1C)被引入室15。更具体地,沉积工艺气体通过入口歧管11(如图1A中箭头40所指),再通过常规的多孔锻盘(perforated blockerplate)42,然后通过气体分配面板13a中的孔13b,流入所述室内。
[0027]到达所述歧管之前,沉积气体和载气从气体源7a通过气体输送系统7(图1A)的气体供应管线8输入混合系统9,在混合系统9中它们被混合然后输送至歧管11。通常,每种工艺气体的供应管线包含(i)多个安全截流阀(未显示),其可用于自动地或者手动地截断工艺气体流入所述室,以及(ii)质量流量控制器(也未显示),其用于测量通过所述供应管线的气体的流量。当处理中使用有毒气体(例如臭氧或者卤化气体),所述多个安全截流阀依照常规的构造被置于每条气体供应管线上。
[0028]在CVD系统10中执行的沉积工艺,或者可以是热工艺,或者可以是等离子体增强工艺。在等离子体增强工艺中,RF电源44在气体分配面板13a和所述底座之间施加了电功率,以便激励工艺气体混合物在面板13a和所述底座之间的被称为“反应区域”的圆柱形区域内形成等离子体。所述等离子体的成分反应,从而在支撑在底座12上的所述半导体晶片的表面上沉积需要的膜。RF电源44是混合频率RF电源,其一般提供的功率,对于高RF频率(RF1)为13.56MHz,对于低RF频率(RF2)为360KHz,以增强被引入真空室15的活性反应物质种类的分解。在热工艺中,将不使用RF电源44,并且所述工艺气体混合物进行热反应,从而在支撑在底座12上的所述半导体晶片的表面上沉积需要的膜,底座12以电阻方式加热以给所述反应提供能量。
[0029]等离子体增强沉积处理过程中,所述等离子体加热整个处理室10,包括对围绕排气通道23和截流阀24的室体的壁15a加热。当还未产生等离子体时或者在热沉积处理期间,热液体循环通过处理室的壁15a使得所述室保持在高温。被用来加热所述室壁15a的流体包括典型的流体类型,例如水基的乙二醇(ethylene glycol)或者油基的传热流体。这样的加热有助于降低或者消除不希望的反应生成物的凝结并能更好地去除所述工艺气体的挥发性产物和其它可能对处理过程造成污染的污染物,而如果它们在冷真空通道的壁上凝结并在没有气流的时候返回到处理室内,就可能造成污染。
[0030]未沉积于层中的所述气体混合物的残留部分,包括反应产物,通过真空泵50排出所述室,真空泵50通过前级管路55与排气通道23相连。具体地,所述气体可以通过围绕所述反应区域的环形槽状的孔口16排出,并进入环形排气通风室(exhaust plenum)17。环形槽16和通风室17由所述室的圆柱形侧壁15a(包括该壁上的上绝缘衬套19)的顶部和环形室罩20的底部之间的空隙所形成。槽孔口16和通风室17在360°圆周上的对称度和均匀性是特别重要的,其重要性在于使工艺气体能够在晶片上方均匀地流动,以便在所述晶片上沉积均匀的膜。
[0031]从排气通风室17开始,所述气体流经排气通风室17的横向延伸部分21的下部,经过一观察端口(未显示),通过向下延伸的气体通道23,经过真空截流阀24(其本体与下部的室壁15a形成整体),并进入排气出口25,其与外部的真空泵50通过前级管路55相连。
[0032]所述底座12的晶片支撑盘(优选为铝、陶瓷或者它们的组合物)采用内嵌单回路嵌入的加热元件电阻式加热,嵌入的加热元件被构造成平行同心圆形式的两个完整回路。所述加热元件的外部邻近所述支撑盘的周界设置,而内部沿着具有较小半径的同心圆的路线设置。所述加热元件的配线通过底座12的支柱。
[0033]一般地,任意的或者所有的所述室的衬套、气体入口歧管面板、以及各种其它反应器硬件都由诸如铝、阳极化铝或者陶瓷材料制成。在美国专利5,558,717号的发明名称为“CVD Processing Chamber”中描述了此CVD装置的一个实例。US专利5,558,717号转让给本发明的受让人应用材料有限公司,并以所有目的以参考形式并入本发明。
[0034]随着晶片通过自动叶片(blade)经过所述室10的插入/移开开口26传递进入以及离开所述室的主体,升降机构加马达(未显示)可提升以及降落加热的底座组件12和它的晶片顶升杆12b。所述马达在处理位置14和下部的晶片装载位置之间提升以及降落底座12。所述马达、与供应管线8相连的阀或者流量控制器、气体传输系统、截流阀、RF电源44、和室及衬底加热系统都由系统控制器34(图1A)经控制线36所控制,所述控制线36在图1A中只示出了一些。控制器34依靠来自光学传感器的反馈来确定截流阀以及基座之类的可移动的机械组件的位置,所述可移动的机械组件在控制器34的控制下通过合适的马达来移动。
[0035]在一个实施例中,所述系统控制器包括硬盘驱动器(存储器38)、软盘驱动器和处理器37。所述处理器包括单片机(SBC)、模数输入/输出板、接口板和步进电机控制板。CVD系统10的不同部分遵照Versa Modular European(VME)标准,规定了电路板、卡笼(cardcage)、和连接器的尺寸和类型。所述VME标准还将总线结构规定为16位数据总线和24位地址总线。
[0036]系统控制器34控制CVD机器的所有活动。所述系统控制器运行系统控制软件,系统控制软件是储存于存储器38之类的计算机可读介质中的计算机程序。存储器38优选是硬盘驱动器,但是存储器38也可以是其它种类的存储器。所述计算机程序包括指令集,其对以下方面进行指示,即,气体的引入和排出的定时、气体的混合、室压、室温、RF功率级、基座位置、以及特定处理的其它参数。储存在其它存储器设备(包括例如软盘或者其它合适的驱动器)上的其它计算机程序也可以使用来操作控制器34。
[0037]以上反应器的描述主要出于示例性说明的目的,并且可以使用其它等离子体CVD装置,例如电子回旋共振(ECR)等离子体CVD设备、感应耦合RF高密度等离子体CVD设备等等。而且,上述系统可能会有变化,例如底座设计、加热器设计、RF电源频率、RF电源连接件的位置以及其它方面都有可能有变化。举例而言,所述晶片可以由基座支撑并由石英灯加热。所述层和用于形成本发明的这种层的方法并不局限于任何特定的装置或者局限于任何特定的等离子体激励方法。
[0038]图2示出了依照本发明的气体分配喷头的一个实施例的下侧的简化平面图。喷头13的下表面上的气体分配面板13a包括两个不同的区域。
[0039]第一个是中心区域200,其中第一组206孔13b构造成用于传输处理气体从而在相应的晶片表面的中心区域之上形成一均匀厚度的层。图2A示出了第一组206孔13b的排列的简化示意图,所述孔13b呈不共心定位并相对于基本圆形的面板13a的半径r不对称。这种孔排列方式保证了孔的最大密度并因此保证了通过其中流向所述晶片表面的气体的最大密度。
[0040]第二个是周边区域,其中第二组208孔13c被构造成以一定的密度来传输处理气体,从而在晶片边缘区域之上形成相称的均匀厚度的层。图2B示出了孔13c的排列的简化示意图,孔13c呈共心定位并相对于基本圆形的面板13a的半径r对称。这种孔排列方式保证了流向所述晶片边缘的气流是均质的,并使在晶片边缘区域的材料的形成呈现均匀的特性和性能。在一个特定的实施例中,所述第二组孔13c呈中心定向,其球圈(BC)为13.20″。球圈的尺寸可以改变,这取决于所述面板的尺寸和流需求(flow requirement)。
[0041]依照本发明的实施例,虽然基本圆形的面板的总体尺寸保持不变,但是由于所述面板边缘处的额外的共心孔行的存在,在处理的衬底上所进行的沉积就好像来自于更大直径的面板。并且,所述面板周边添加的孔使得所述室内部的等离子体更加均匀。这种等离子体的均匀性接着又提高了产生的沉积的膜的性质方面的均匀性,例如它们的厚度、折射率(RI)以及介电常数(k)。
[0042]所述第二组中的孔的尺寸与所述面板的其它部分中的孔的尺寸相比,可以相同或者不同。这种额外的、共心定位的孔行可以对流向所述晶片边缘的工艺气体进行重新分配。所述晶片边缘上的沉积速率可以独立控制。因此,可以获得呈现优良的从晶片中心到边缘的均匀性的化学气相沉积膜。
[0043]图3A示出了所述第一组的孔的简化剖视图,其装置由图2示出。依照本发明,这个特定的实施例包含五千一百三十个第一类型的孔。依照本发明的实施例,孔的数量不局限于此数字或者任何其它特定的数字。
[0044]此第一组的孔13b具有直径为0.150英寸(0.150″)的沉孔300,经过一个直径约0.016+/-0.0005″、长度为0.043″的缩颈或者孔口304后,引导至一直径为0.045-0.048″的出口孔302。这些孔13b非共心定位,而是依照所述喷头的X-Y平面内形成的行定位。所述第一类型孔的数量和尺寸可以根据所述面板的尺寸和流需求进行调整。
[0045]图3B示出了第二组孔13c的简化剖视图,其装置由图2示出。参照本发明,这种特定的实施例包含二百四十个第二类型孔。此第二组孔13c显示具有直径为0.060″的沉孔306,经过一个直径约0.020+/-0.0005″、长度为0.043″的缩颈或者孔口310后,引导至一直径为0.045-0.048″的出口孔308。所述第二类型孔的数量和尺寸可以根据所述面板的尺寸和流需求进行调整。
[0046]如上所述,依照本发明的实施例,采用气体分配喷头/面板设计改进了对衬底边缘处进行处理所形成的均匀性。下面的 表1阐述了多个实例,其中,相比没有第二组共心定位的孔口的常规面板,采用如图2所示的面板实施例通过CVD沉积而得的材料,其特性的均匀性得到了改进。
                            表1
                    CVD膜的中心到边缘的均匀性
  沉积膜   面板(无共心排列的孔口)  面板(包含共心排列的孔口)
  应用材料:BLOkTM含氮的阻挡层膜   厚度均匀性=2.3%折射率(RI)范围=0.09  厚度均匀性=1.2%折射率(RI)范围=0.019
  应用材料:BlackDiamondTM含碳低K膜(第一沉积条件)   厚度均匀性=2.5%  厚度均匀性=1.11%
  应用材料:BlackDiamondTM含碳低K膜(第二沉积条件)   厚度均匀性=5-10%  厚度均匀性=1.5%
[0047]下面的 表2和相应的图4A-D对使用多个不同的面板设计沉积的BLOKTM含氮的阻挡层膜的均匀性特性改进提供了更多的细节。
                                表2
  面板类型
  常规的   扩展或增加的  常规的+径向孔  增加的+径向孔
  XY孔的数量   4,933   5,365  4,933  5,113
  径向孔的数量   0   0  240  240
  最外部孔的球圈   12.60″   <=13.20″  13.08″  13.20″
  图号   4A   4B  4C  4D
  %厚度的均匀性   2.3   1.43  1.93  1.20
  折射率范围   0.090   0.026  0.037  0.019
[0048]表2和图4A-D示出非径向定位的孔所覆盖的区域的增加或扩充使厚度和折射率的均匀性得到了一些改进,如在常规的面板设计中添加径向定位的孔得到的结果。所述面板设计结合了XY孔区域的扩展以及径向定位孔的引入,从而使得沉积的膜的特性的均匀性得到最大的改进。
[0049]图5A示出了描述通过常规面板的模拟气流的轴向速度的剖视图,所述常规面板只包括第一组孔。图5B示出了描述通过面板的一个实施例的模拟气流的轴向速度,所述面板依照本发明特征在于具有第一和第二组孔。在此设计中,因为所述第二组孔的孔口(orifice)尺寸大于所述第一组孔的孔口尺寸,所述第二组孔的气体传导更大,并且速度更快。具体地,比较图5A和5B,显示从第二孔组流向晶片的边缘区域的气体的轴向速度大约是从第一孔组流向晶片的中心区域的气体的轴向速度的两倍。这些模拟结果显示所述第二组孔给晶片的边缘带来额外的气流,并因此使得气流量可以通过所述第二组孔中的孔口的尺寸得到控制。
[0050]进一步的针对气体压力的模拟显示:对于依照本发明特征为具有两组孔的实施例,所观察到的通过或穿过所述第一组孔的压降非常接近于所观察到的通过所述第二组孔的压降。通过所述第一和第二组孔的这种压降的均匀性有助于在晶片上建立稳定的沉积条件。
[0051]应该了解的是,在这里描述的本发明可以应用于使用喷头来向衬底分配工艺气体的任何衬底处理系统。这不仅包括CVD系统,还包括蚀刻和清洗系统,这里仅仅列出了少数实例。
[0052]多种不同的气体类型可以经显示有本发明特性的喷头流出。依照本发明的实施例可以分配含氮或碳的工艺气体,将其用于沉积含氮或含碳材料。依照本发明的实施例也可以分配包含氟或者其它高活性元素的气体,将其用于从所述室内的暴露表面上清洗残留物。
[0053]依照本发明的实施例不局限于以上所述的特定的面板设计。举例而言,可以根据特定的应用需要来调整径向定位的孔的尺寸、密度和数量。
[0054]并且,依照本发明的其它实施例,气体可以通过不同的路径流入径向对称孔和径向不对称孔。以这种方式,气体可以在不同的压力下或者以不同的速度流入所述面板的中心区域和边缘区域,从而使得操作者能够实现对材料在衬底边缘区域上的沉积进行更精确的控制。
[0055]虽然这里显示了和具体描述了包含本发明的思想和教导的各种实施例,所属技术领域的技术人员还可以容易地想出包含这些教导的许多其它各种的实施例。举例而言,虽然以上描述的具体实施例特征为在所述面板周边上的单独一行的共心定位的孔,但是本发明不局限于这种构造。可以选择的实施例可以使用多于一行的这样的第二类型孔而依然在本发明的范围之中。
[0056]虽然以上是本发明的具体实施例的完整描述,然而还可以采用各种改造、变化和替换方式。这些等价的和可以选择的方法包含于本发明的范围之中。因此,本发明的范围不局限于所描述的实施例,而是由所附权利要求和它们的等价物的全部范围所限定。

Claims (21)

1.一种装置,其包括:
围绕处理室的壁;
置于所述室中的晶片基座;
与所述室流体连通的第一排气管道;和
通过基本圆形的气体分配喷头与所述室流体连通的处理气体源,所述气体分配喷头包括:
置于中心喷头区域并相对于所述喷头半径不对称的第一组孔,和
置于周边喷头区域并相对于所述半径对称的第二组孔。
2.根据权利要求1所述的装置,其中:
所述气体分配喷头被设置用于传输气体至衬底的表面,所述衬底的直径为300mm,所述第一组孔的数量大约为5000个,以及所述第二组孔的数量大约为240个。
3.根据权利要求1所述的装置,其中:
所述第一和第二组孔包括进气孔,其通过孔口与出气孔流体连通,所述孔口的宽度小于所述进气孔和所述出气孔。
4.根据权利要求3所述的装置,其中:
所述第一组孔的孔口的直径大约为0.016″,并且所述第二组孔的孔口的直径大约为0.020″。
5.根据权利要求3所述的装置,其中:
所述第二组孔以单行排列,其具有相对于晶片中心的球圈中心。
6.根据权利要求1所述的装置,进一步包括:
引导气体从气体源流向所述第一组孔的第一气体通道,和引导气体从所述气体源流向所述第二组孔的第二气体通道。
7.根据权利要求1所述的装置,其中:
所述第二组孔被设置用于使气体流向晶片的边缘部分,气体具有的轴向速度是流经所述第一组孔的气体显示的轴向速度的大约两倍。
8.一种用来在半导体衬底上沉积材料的方法,所述方法包括:
使工艺气体通过非径向对称的第一组孔流向衬底的中心部分,所述第一组孔位于基本圆形的气体分配面板的中心部分;和
使所述处理气体通过径向对称的第二组孔流向所述衬底的边缘部分,所述第二组孔位于所述基本圆形的气体分配面板的周边部分。
9.根据权利要求8所述的方法,其中:
所述处理气体同时流经所述第一和第二组孔。
10.根据权利要求8所述的方法,其中:
所述处理气体流向所述边缘部分包括流动额外体积的处理气体,其用于补偿离开所述边缘部分的气流。
11.根据权利要求8所述的方法,其中:
流动所述处理气体引起固体材料在所述衬底上的沉积。
12.根据权利要求8所述的方法,其中:
所述工艺气体流经所述第一和第二组孔,使得由所述沉积的材料显示的厚度、折射率、和介电常数中的至少一个的均匀性得到改进。
13.根据权利要求8所述的方法,其中:
所述第二组孔被设置使得气体流向晶片的边缘部分,所述气体具有的轴向速度是流经所述第一组孔的气体显示的轴向速度的大约两倍。
14.根据权利要求12所述的方法,其中:
流动所述处理气体的包括流动含碳的处理气体,以实现含碳材料的沉积。
15.根据权利要求14所述的方法,其中:
流动所述处理气体实现了含碳的氧化硅低K介电层的沉积,所述介电层显示的厚度均匀性为1.5%或者更小。
16.根据权利要求12所述的方法,其中:
流动所述处理气体包括流动含氮的处理气体,以实现含氮材料的沉积。
17.根据权利要求16所述的方法,其中:
流动所述处理气体实现了含氮的氧化硅阻挡层的沉积,所述阻挡层显示的折射率的变化范围是0.02或者更小。
18.根据权利要求8所述的方法,其中:
流动所述处理气体包括流动含氟的处理气体。
19.一种圆形的气体分配喷头,包括:面板,其形成有第一组孔和第二组孔,所述第一组孔位于中心区域并相对于所述面板的半径不对称,所述第二组孔位于周边区域并相对于所述半径对称。
20.根据权利要求19所述的圆形的喷头,其中:
所述第一和第二组孔包括进气口,其通过孔口与出气口流体连通,所述孔口的宽度小于所述进气口和所述出气口。
21.根据权利要求20所述的喷头,其中:
所述第一组孔的孔口的直径小于所述第二组孔的孔口的直径。
CNB2004800403492A 2003-12-15 2004-12-14 用于改进cvd膜性能的边流面板 Expired - Fee Related CN100466162C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US52981903P 2003-12-15 2003-12-15
US60/529,819 2003-12-15

Publications (2)

Publication Number Publication Date
CN1902732A true CN1902732A (zh) 2007-01-24
CN100466162C CN100466162C (zh) 2009-03-04

Family

ID=34700052

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800403492A Expired - Fee Related CN100466162C (zh) 2003-12-15 2004-12-14 用于改进cvd膜性能的边流面板

Country Status (5)

Country Link
US (1) US20050126484A1 (zh)
KR (1) KR20060120707A (zh)
CN (1) CN100466162C (zh)
TW (1) TW200526800A (zh)
WO (1) WO2005059974A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107665801A (zh) * 2016-07-27 2018-02-06 朗姆研究公司 具有增大面密度的衬底支撑件及其相应制造方法
CN109811406A (zh) * 2017-11-20 2019-05-28 北京北方华创微电子装备有限公司 石英件、工艺腔室和半导体处理设备
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
CN102449190B (zh) * 2009-05-26 2014-08-27 Imec公司 在基板上形成有机材料层的方法
WO2011009002A2 (en) * 2009-07-15 2011-01-20 Applied Materials, Inc. Flow control features of cvd chambers
JP2012119590A (ja) * 2010-12-02 2012-06-21 Mitsubishi Materials Corp プラズマ処理装置用電極板
US20130273239A1 (en) * 2012-03-13 2013-10-17 Universal Display Corporation Nozzle design for organic vapor jet printing
TWM478028U (zh) * 2013-07-29 2014-05-11 Applied Materials Inc 用於一沉積腔體之擴散件
TWI524388B (zh) * 2013-12-27 2016-03-01 Hitachi Int Electric Inc A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
CN105408984B (zh) * 2014-02-06 2019-12-10 应用材料公司 用于启用轴对称以用于改进的流动传导性和均匀性的在线去耦合等离子体源腔室硬件设计
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10954596B2 (en) * 2016-12-08 2021-03-23 Applied Materials, Inc. Temporal atomic layer deposition process chamber
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4780169A (en) * 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6113698A (en) * 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
WO1999025895A1 (en) * 1997-11-17 1999-05-27 Symetrix Corporation Method and apparatus for misted deposition of thin films
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6263629B1 (en) * 1998-08-04 2001-07-24 Clark Schwebel Tech-Fab Company Structural reinforcement member and method of utilizing the same to reinforce a product
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6620289B1 (en) * 1999-04-27 2003-09-16 Applied Materials, Inc Method and apparatus for asymmetric gas distribution in a semiconductor wafer processing system
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
KR20060011887A (ko) * 2003-05-30 2006-02-03 에비자 테크놀로지, 인크. 가스 분산 시스템

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107665801A (zh) * 2016-07-27 2018-02-06 朗姆研究公司 具有增大面密度的衬底支撑件及其相应制造方法
CN107665801B (zh) * 2016-07-27 2023-08-15 朗姆研究公司 具有增大面密度的衬底支撑件及其相应制造方法
CN109811406A (zh) * 2017-11-20 2019-05-28 北京北方华创微电子装备有限公司 石英件、工艺腔室和半导体处理设备
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头

Also Published As

Publication number Publication date
US20050126484A1 (en) 2005-06-16
WO2005059974A1 (en) 2005-06-30
TW200526800A (en) 2005-08-16
KR20060120707A (ko) 2006-11-27
CN100466162C (zh) 2009-03-04

Similar Documents

Publication Publication Date Title
CN100466162C (zh) 用于改进cvd膜性能的边流面板
US20200149166A1 (en) Flow control features of cvd chambers
US8097120B2 (en) Process tuning gas injection from the substrate edge
US7622005B2 (en) Uniformity control for low flow process and chamber to chamber matching
CN100575547C (zh) 通过气体分散器的等离子体均匀度控制
CN1989587B (zh) 在化学气相沉积系统中绕过阻滞板分配气体
CN102586757B (zh) 氮化硅的热化学气相沉积
US20130306758A1 (en) Precursor distribution features for improved deposition uniformity
US20050252447A1 (en) Gas blocker plate for improved deposition
CN1639831A (zh) 可调谐的多区气体喷射系统
CN101065513A (zh) 用于改良瞬时相沉积的气体分配系统
CN101061575A (zh) 成膜方法及成膜装置
CN1189859A (zh) 等离子体增强的化学处理反应器和方法
WO2006096674A1 (en) Gas baffle and distributor for semiconductor processing chamber
CN1715442A (zh) 用于大区域等离子体加强化学气相沉积的气体扩散喷头
CN1582488A (zh) 处理装置和处理方法
CN1769518A (zh) 终点检测器及颗粒监测器
CN1763912A (zh) 气体扩散板
CN1460130A (zh) 无机/有机介电薄膜的沉积系统及方法
US11791136B2 (en) Deposition radial and edge profile tunability through independent control of TEOS flow
US11222771B2 (en) Chemical control features in wafer process equipment
WO2015016980A1 (en) Gas diffuser hole design for improving edge uniformity
WO2014018480A1 (en) Process gas flow guides for large area plasma enhanced chemical vapor deposition systems and methods
CN112501587A (zh) 化学气相沉积设备、泵浦衬套及化学气相沉积方法
CN117947407A (zh) 气体喷射器及具有该气体喷射器的基板处理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090304

Termination date: 20141214

EXPY Termination of patent right or utility model