CN102586757B - 氮化硅的热化学气相沉积 - Google Patents

氮化硅的热化学气相沉积 Download PDF

Info

Publication number
CN102586757B
CN102586757B CN201210069512.4A CN201210069512A CN102586757B CN 102586757 B CN102586757 B CN 102586757B CN 201210069512 A CN201210069512 A CN 201210069512A CN 102586757 B CN102586757 B CN 102586757B
Authority
CN
China
Prior art keywords
chamber
adapter ring
base material
panel
equipment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201210069512.4A
Other languages
English (en)
Other versions
CN102586757A (zh
Inventor
R·S·伊尔
S·M·苏特
J·W·史密斯
G·W·迪贝罗
A·塔姆
B·特兰
S·坦东
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102586757A publication Critical patent/CN102586757A/zh
Application granted granted Critical
Publication of CN102586757B publication Critical patent/CN102586757B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Abstract

氮化硅的热化学气相沉积,本发明的设备包含处理区;基材托架;气体输送系统;气体混合区;加热元件,该加热元件用以将固定在面板上的转接环加热到所需要的温度;以及有温度控制的排气系统。此外,本发明是关于涉及一种方法和设备,其可包括:将双(第三-丁基胺)硅烷气化;将双(第三-丁基胺)硅烷和氨送入处理室中;在由转接环和至少两折流板所界定的另一混合区中,组合此两种反应物;加热该转接环;并将该双(第三-丁基胺)硅烷经由气体分配板送入该处理区内。

Description

氮化硅的热化学气相沉积
本申请是申请号为“200480040845.8”、申请日为2004年8月25日、题为“氮化硅的热化学气相沉积”的专利申请的分案申请。
技术领域
本发明的实施例大致上是关于一般涉及基材的处理。更明确的说,本发明是关于尤其涉及化学气相沉积室和工艺。
背景技术
热化学气相沉积(CVD)薄膜是应用于在集成电路内形成多重材料层。热CVD薄膜可用作为绝缘体、扩散源、扩散和植入掩模、分隔板、及最后的钝化层。这些薄膜通常是在数个处理室内沉积而成,各处理室各自有特定的热学和质量传递性,以便多电路载体(例如基材)的表面形成具有最佳最优化均匀物理性和化学性的沉积薄膜的沉积。这些处理室经常作为大型整合工具的部件以便在基材表面制造多重组件。这些处理室的设计是一次只处理一个基材或处理多个基材。
在器件的几何尺寸缩减以实现更快的集成电路之际,在满足日益增长的提高产率、新颖薄膜性质及低杂质的需求的同时,也须考虑如何精减沉积薄膜的热处理预算。传统上,热学CVD是在700℃或甚至更高的温度下分批于热炉中以低压状态沉积数小时进行的。若降低沉积温度便可降低热能支出,此时只需使用较低温的前驱物或缩短沉积时间。热学CVD工艺若在处理速率控制模式下运作易受温度波动影响,若在质量传递控制模式下运作则易受不均匀流动所影响,或若在处理速率及质量传递控制混合模式下运作,则会受到两者的影响。有效的处理室设计必须包括精准的温度波动控制及充份的配送流以便在基材上形成均匀的沉积膜。处理室和排放设备的设计是依据前驱物和处理副产物的性质而异。
发明内容
本发明是一种CVD室,其能藉由改变单晶片热式CVD室的机械设计而提供均匀的热能分配、均匀的工艺助剂分配、有效的前驱物输送、及有效的残留物和排放物管理。这些改良包括处理室,其中包含由室本体和室盖所界定出的处理区;设置在该处理区内的衬底托架;安装在该室盖上的气体输送系统,该气体输送系统包含由一转接环和界定气体混合区的两个折流板;以及固定在该转接环上的面板;定位成将该转接环加热到所需温度的加热元件;以及有温度控制的排气系统。
这些改良亦包括一种用以在基材上沉积氮化硅层或碳掺杂或含碳的氮化硅层的方法,该方法包含:将双(第三-丁基胺)硅烷(BTBAS)或其它硅前驱物气化;使该双(第三-丁基胺)硅烷流入处理室;使氨和/或其它氮前驱物流入处理室中;在该处理室室盖中的混合器内组合此两种反应物;该室盖具有由一转接环和至少两个折流板所界定的另一混合区;加热该转接环,并使该双(第三-丁基胺)硅烷流经气体分配板进入基材上方的处理区中。此种改良能减少基材表面的缺陷并提高产率。
附图说明
为求能详细了解上述本发明列述的特色,以上本发明的概要说明将参照多个实施例,其中部份将以附图例示。不过,本发明在此声明,这些附图仅用以例示本发明的典型实施例,而非限制其范畴,而本发明仍包括其它类似的实施例。
图1是一处理室实施例的横剖示意图,其中包括气体分配组件和基材托架组件。
图2的分解示意图是该处理室和其工艺用具的各种组件。
图3是该面板气体输入口的例示图。
图4是狭缝阀衬垫的立体示意图。
图5是排气磊送板的立体示意图。
图6是该排气磊送板的盖板的立体示意图。
图7是另一工艺用具的立体概图,其可作为单晶片热学CVD处理室以及将气体输送至处理室的液体输送系统。
图8是例示该基材的表面,显示所收集到的样品是取自该基材整个表面。
具体实施方式
本发明的多个实施例提出一种可在基材上形成沉积层的器件,以及一种在基材上形成沉积层的方法。其硬件说明,包括实施例的例示图,先予以展示。在硬件说明后将说明该工艺的修饰及测试结果。
图1是一单晶片CVD处理室的纵切面图,该处理室具有多个室壁106和一室盖110。该处理室的多个室壁实质上为圆柱状。该室壁有数个部分可受热。该室壁上设置有一狭缝阀通道114,可供晶片或其它基材进入。
基材托架111是用以支托基材且可加热该处理室。除了该基材托架以外,该处理室的基座亦可包含一基材托架组件、一反射板或其它辅助热传导的机构、各种测量处理室状态的探针、一排气组件、及其它用于支托该基材和控制该处理室环境的设备。
进料气体在穿过室盖110中的混合器113和第一折流板104内的多个孔(未显示)后,便经由气体输送系统送入该处理室内。该进料气体为气态,其中可包括多种液体的蒸气和多种气体。然后该气体便流经混合区102,其是位于第一折流板104和第二折流板105之间。该第二折流板105的构造是由一转接环103支托。当该气体穿过该第二折流板105上的多个孔(未显示)之后,该气体先流经面板108再进入主要处理区,该主要处理区是由该处理室的多个壁106、该面板108、及该基材托架111所界定而成。该气体再经由排气板109流出该处理室。其室盖110可更包括多个气体进气口、一气体混合器、一等离子体发射源、及一或多个气体配送组件。视需要,该处理室亦可在多个该处理室室壁106和该室盖110之间包括一插入件101,该室盖110受热后可对该转接环103提供热能而将该混合区102和该面板108加热。另一在图1中例示的额外选用的硬件是排气板盖板112,其是位于排气磊送板109的上方。最后,亦可额外选用一狭缝阀衬垫115以减少热能经由该狭缝阀通道114流失。
图2是该气体输入系统的分解图。图2例示由该室盖110、多个折流板104、105、该转接环103、和该面板108架构成一具有加热面的空间,可将多种气体加热并混合,然后再进入该处理室的处理区。
图3则是面板108的例示图。该面板108是由转接环103支托。该面板108是藉由多个螺丝连接到该转接环103且具有多个孔,可在该处理室的处理区内产生较佳的气体输入配送。
图4是视需要选用的狭缝阀衬垫115的立体示意图。该狭缝阀衬垫115减少热能经由狭缝阀通道114流失。
图5是排气板109的立体简图,该排气板109用于控制源自该处理室的处理区的排气流。该简图显示该板的设计是用以调整源自该处理室的排气,以弥补在该处理室内因狭缝阀的存在所造成的热传递偏差。
图6是该排气板109的一排气板盖板112的立体简图。此图是例示该盖板设计的孔洞有特定图案,可弥补该处理室内的任何排气流偏差。
图7是该室盖组件另一实施例的放大图。室盖209可藉由多个断热组件212与其余的处理室隔离。该多个断热组件212是位于加热罩203的上方面和底部表面。该加热罩203亦可连接到折流板205和面板208。视需要,可将该室盖或室盖零组件的多个部件加热到需要的温度。
室盖组件可包括一气体输入口213,用以将多种进料气体预先混合、和用以形成一空间202的多个部件,一空间202由室盖209、多个断热组件212、加热罩203、及多个折流板204和205所界定。此空间202能使多种反应气体的滞留时间延长,以便在进入该处理室的基材处理区之前先充分混合。加热元件210对该空间202的界定表面加热以避免在该空间的表面上有原料、凝结气、及副产物堆积。该多个受热面亦可将反应气体预热,以有助于多种气体离开该面板208及进入该处理室的基材处理区时的热能和质量传递。
图7亦为气体输入系统的零组件的例示图,其能将氨-硅化合物,例如BTBAS,添加在CVD室内。BTBAS是储放在一大安瓶401中。BTBAS从大安瓶401流向处理安瓶402。该BTBAS流入流量计403中。经量取的BTBAS流进气化器404内,例如有压电控制的直接液体注射器。视需要,该BTBAS可在气化器404内与来自气体供应源405的承载气体(例如氮)互相混合。此外,该承载气体亦可在进入气化器之前预先加热。所生成的气体再进入CVD室的室盖209中的气体输入口213。视需要,连接着该气化器404和该混合器113的管路亦可加热。
图8是基材的图形,其中显示多数样品是取自于该基材表面各处。
在面板108、208下方的该处理室的处理区内,其是藉由对各个表面例如其面板、该处理室的多个壁、其排气板、及其基材托架供应热能而控制热分配。热分配亦由一排气板、视需要插入一排气板盖、视需要插入一狭缝阀衬垫设计控制。该处理室的处理区内的化合物分配会受到该面板和排气板及视需要使用的排气板盖板的设计影响。当室盖和面板之间的气体输入口有充份空间且当面板受热时,等离子体清除亦较为改善。
第二折流板105和面板108是经加热以避免化学物质沉积在折流板的表面,在该处理室内预热该多种气体,并减少热能在室盖流失。将第二折流板和面板连接在室盖的转接环103有助于将该第二折流板和面板的热能与该室盖隔离。例如,该室盖可维持在温度约30-70℃,而该第二折流板和该面板则可维持在温度约100-350℃。该转接环可设计成不均匀的厚度以限制热能在该室盖流失,其功用有如一热隔离。这种该第二折流板和面板与该室盖的热隔离能使该第二折流板和面板免于感受到室盖表面温差的变化。因此,该第二折流板和面板不必像习知的处理室对该室盖加热,并且其温度能高于习知的多个处理室的多个折流板和多个面板的温度。这种由该第二折流板和面板提供的较均匀气体加热效果能在该处理室的基材上产生更均匀的沉积膜。通常,该第二折流板和面板是加热到温度约100至350℃或更高,例如介于约150到300℃。当第二折流板和面板的温度较高时,可观察到的优点的一是该处理室的膜沉积速率变快。一般认为,由于该第二折流板和面板的较高温度可使该处理室内前驱物分解加速而使沉积速率提高。第二折流板和面板温度较高的另一优点是减少CVD处理副产物在该第二折流板和面板上沉积。
排放系统对于该处理室内的热和化学分配亦有贡献。抬升板(pumpingplate)109可设计成具有多个不均匀分布的通道以弥补因狭缝阀所产生热分配问题。此抬升板可用保温材质制成,以保留由基材托架组件提供到该处理室处理区的热能,以免排放的化学物质和副产物沉积在该板表面。该抬升板特有的多道特殊设计的狭缝可弥补狭缝阀的放射式变形。其排放系统有助于维持该处理室的压力在10至350Torr。此排放系统利用多个节流阀和多个隔离阀控制压力。这些阀可受热到所需要的温度而避免副产物和未耗尽的气体及气相残余物形成。
基材托架组件111有多个设计机制能使膜均匀分布。与衬底接触的该托架表面可表征为用于将可变的热能分配到整个基材半径的多个热传递区。例如,基材托架组件可包括一双区陶瓷加热元件,该双区陶瓷加热元件可维持在500-800℃的工艺温度下,例如600-700℃。基材的温度通常约比测得的加热元件温度低约20-30℃。托架可旋转以补偿该处理室的处理区内部的热能和化学差异性。托架在该处理室内可以进行水平的、垂直的、或旋转式运行,从而手动或机械地使基材对准该处理室的中心。
处理室和其零组件的表面可以用电镀铝作为材质。此电镀铝能减少凝结和固态物质沉积。电镀铝的优势是较能保温,因而此种材质的表面能维持温度而不易凝结或沉积产物。此种材质亦较不易发生在习知的处理室表面常见的固态沉积化学处理。这些室盖、多个壁、多个分隔板片、多个折流板、面板、基材托架组件、狭缝阀、狭缝阀衬垫、及排气组件均可用固态的电镀铝涂布或制造。
稀释剂或承载气体则提供另一种机制调整膜的性质。氮或氦气可分别或合并使用。氢气或氨气亦可使用。较重的气体有助于在该处理室分散热能。较轻的气体可促使多种前驱物液体先气化再加入该处理室中。将数种工艺气体充分稀释亦有助于避免在该处理室的多个表面和多个排放系统表面形成凝结物或固态沉积物。
重复性测试以下述方式进行。比较在常规的处理室中与改良的处理室(该改良的处理室已具有上述的额外及/或经修改的组件)中形成的各个沉积膜的膜层厚度。结果发现,在改良的处理室中形成的晶片的均匀度显著改善。
以下将提供数个在本文的CVD室形成的沉积膜的实施例。气体进入这些薄膜的整体流速可在200至20,000sccm,而典型的制程其流速可达4,000sccm。膜的组成,明确言之,氮与硅的含量比值、折射率、湿蚀刻率、氢含量、和本文中所提及的任一种膜的应力均可藉由调整若干参数而修饰。这些参数包括总流速、该处理室之间距和加热时间。该系统的压力可在10至350Tor之间调整,且NH3与BTBAS的浓度比值可介于0到100。
氮化硅膜
氮化硅膜可藉由使硅前驱物与氮前驱物进行处理而在本文所述的薄膜上经化学气相沉积。适用的硅前驱物包括二氯硅烷(DCS)、六氯二硅烷(HCD)、双(第三-丁基胺)硅烷(BTBAS)、硅烷(SiH4)、二硅烷(Si2H6)、及其它的类。适用的氮前驱物包括氨(NH3)、联胺(N2H4)、及其它的类。例如:SiH4和NH3化学可适用。
在CVD处理室中,SiH4主要分解成SiH3、SiH2,可能为SiH。NH3分解成NH2、NH和H2。这些中间物互相处理而形成SiH2NH2或SiH3NH2或类似的胺-硅烷前驱物,其可扩散通过气体界面层,并在基材表面或极邻近的处进行处理而形成氮化硅膜。一般相信,若处理室表面的温度较高,能提供热能到该处理室而提高了NH2的反应性。若在该处理室的室盖的气体输入口和第二折流板之间的空间体积越大,能延长进料气体的滞留时间,使形成所要的胺-硅烷前驱物的机率提高。当所形成的前驱物的数量愈高,便能降低形成微负载图案(即在基材的致密图案区有前驱物空乏)的机率。
研究结果也发现,使NH3的流速相对于其它前驱物的流速提高,能使膜的沉积速度加快。例如,在习知系统中其NH3对SiH4的流速比值多为60比1。测试结果显示,当室盖和第二折流板之间距拉大时,在习知比值60比1到1000比1之间可形成均匀的膜。此外,当面板和基材之间距为750-850mils时其膜均匀度比在650mils下所形成的沉积膜高。
碳掺杂氮化硅膜
在一实施例中,BTBAS可作为一种含硅的前驱物而在本文所述的处理室中沉积成为碳掺杂氮化硅膜。下列机制可用于生产带有第三丁基胺副产物的碳掺杂氮化硅膜。其后BTBAS可与第三丁基胺反应而形成异丁烯。
3C8H22N2Si+NH3=>Si3N4+NH2C4H9
本文揭示条件的实施例有四个。表1列举的是压力、温度、间距、流速、及其它条件。栏1中显示一组操作条件,其使用的BTBAS浓度比其它实施例低。栏2则显示在较低温度及湿蚀刻率下操作。栏5显示的是用最低湿蚀刻率和温度而栏6显示的操作参数是兼具在此四个实施例中最高沉积速度和最低图案负载效应。在这些实施例中,晶片的加热元件温度在675至700℃而该处理室的压力是50到275Torr。
该形成碳掺杂氮化硅膜的BTBAS反应为反应速率限制型,而非质量转移限制型。在一图案化基材上形成的膜可均匀的将该已图案化的基材的外露面涂覆。BTBAS的图案负载效应(PLE)小于习知的硅前驱物,例如SiH4。表1显示BTBAS和NH3化学反应的侧壁PLE低于5%,而在相同处理室内SiH4和NH3制程则超过15%。一般相信,一些含硅前驱物呈现的图案负载效应是由于这些前驱物例如SiH4和NH3反应是质量转移限制所致。
表1.测试BTBAS效能的操作条件
以BTBAS作为反应物气体尚能调节碳含量。意即,藉由改变参数例如压力和含氮前驱物气体的浓度,便可调整生成膜的碳含量而使膜具有所希望的碳含量且使整个基材直径上的碳浓度更为均匀。BTBAS亦可以0.05至2.0克/分钟的速度加入该系统中,典型的系统可使用0.3-0.6克/分钟。表2中提供了三种组态的流速、浓度、及其生成膜的多个性质。
根据所设计的实验数据分析其组态的预测值是C5-6%和C12-13%。实验结果是C10.5%。双区陶瓷加热元件是作为硅基材的热源感受器,该双区陶瓷加热元件的外部区对内部区的电压比值可读取自VR。RI则指示折射率。WERR为氮化膜相对于热长成的氧化硅膜(作为对照组)的湿蚀刻率。
表2.三种BTBAS组态及其生成膜的性质
表3是将不同工艺条件的基材面上数个点取得的样品元素组成依元素别列举。这些样品的元素组成是以核反应分析及拉塞福背向散射光谱分析。
表3.取自基材表面位置的原子组成
表3例示在该基材表面上的碳含量偏差值为0.895%。研究发现,含碳量在2至18原子百分比的碳掺杂氮化硅膜在本文所述的处理室中的沉积速度加快。
以BTBAS作为含硅前驱物能使所形成的膜具有多个较佳性质。提高膜的碳含量可改善掺杂物残留及轮廓组合,使该器件的p沟道金属氧化半导体(PMOS)部分的效能提升。在合并使用BTBAS时亦可调整其工艺的参数以提高应力分布。提高膜应力能使该设备的n沟道金属氧化半导体(NMOS)部分的效能提升。调整该处理室的压力、总进料气体流、NH3和BTBAS进料气体比值、以及BTBAS的体积分率会影响膜应力性质。
进一步的实验结果显示,在675℃下膜不均匀度的标准偏差值低于1.5百分比。同时,在温度范围645至675℃之间膜不均匀度的组成的标准偏差值亦低于1.5百分比。大于或等于0.12pm的颗粒污染则少于30颗。
当选用低NH3浓度及低压力时湿蚀刻率变低。压力范围实验值为50至275Torr。所测得的湿蚀刻率低于0.3。该膜的湿蚀刻率是将膜蚀刻和100:1HF的热氧化物比较而计算出的。所测得的RMS糙度在400埃为0.25nm。
在温度范围625至675℃之间的膜沉积速率是125至425埃。当使用较高浓度BTBAS、较低浓度NH3、及较高压力和温度时,此沉积速率便较高。
膜的氢浓度低于15原子百分比。根据估算,膜内大多数的氢呈N-H键结。膜的碳含量是2至18原子百分比。
所观察到的增强NMOS I-drive的应力是1E9至2E10dynes/cm2(0.1至2GPa)。此应力在高浓度NH3、较低浓度BTBAS、及低压力下较高。
在相同温度范围下所测得的折射率为1.75至1.95。当系统在较低压及较低浓度BTBAS运作时折射率较高。
此外,所观察到的或估算的碳浓度介于2至18百分比。该碳浓度在低浓度NH3和高浓度BTBAS时达到最高值。
表1的结果可与习知及类似的系统比较。表1中的湿蚀刻率比值测试可和习知热炉系统的氮化硅沉积膜(其曾在100:1HF中浸泡1分钟)比较。表3的应力测试结果与在类似条件下操作结果为0.1至2.0GPa的测试的结果相似。
典型地,氮的用途是同时作为源自气体供应源的BTBAS的承载气体以及热式CVD处理的稀释剂气体。使用氢作为稀释剂气体会使BTBAS与NH3热式CVD反应的沉积速率增加达30%。使用锗烷掺杂的氢作为稀释剂气体会使沉积速率更为加快。
虽然前驱物如BTBAS可同时作为硅源和碳源,亦可将硅前驱物例如硅烷、二硅烷、六氯二硅烷、和二氯硅烷与碳前驱物例如乙烯、丁烯、和其它烯类或其它碳源组合,并在单晶片热学CVD室内使该两种前驱物与NH3反应而形成碳掺杂氮化硅膜。
碳掺杂氧化硅膜
BTBAS并具有一些工艺化学上的弹性。在BTBAS的氧化物工艺中,NH3可由氧化剂如N2O取代。在本发明所述的硬件中热学CVD可用于沉积氧化膜。
虽然前驱物如BTBAS可同时作为硅源和碳源,亦可将硅前驱物例如硅烷、二硅烷、六氯二硅烷、和二氯硅烷与碳前驱物例如乙烯、丁烯、和其它烯类或其它碳源组合,并在单晶片热学CVD室内使该两种前驱物与N2O反应而形成碳掺杂氧化硅膜。
碳掺杂氧化硅氮化膜
通常,合并使用含硅前驱物、含碳前驱物、含氧前驱物、和含氮前驱物可沉积成为碳掺杂或含碳的氧化硅氮化膜。这些膜在未来的新式设备中极可能应用在介电常数及碳含量控制上。此种低-k热沉积的CVD膜对设备可能有极大的价值。
为了制造碳掺杂或含碳氧化硅-氮化膜,BTBAS可与NH3及氧化剂例如N2O一起使用。在本发明所述的硬件中热学CVD可用于沉积氧化物氮化膜。
虽然前驱物如BTBAS可同时作为硅源和碳源,亦可将硅前驱物例如硅烷、二硅烷、六氯二硅烷、和二氯硅烷与碳前驱物例如乙烯、丁烯、和其它烯类或其它碳源组合,并在单晶片热学CVD室内使该两种前驱物与NH3和N2O反应而形成碳掺杂氧化硅氮化膜。
许多常见的低-k前驱物,例如三甲基硅烷和四甲基硅烷内含硅、氧、和碳。这些前驱物可与氮源如NH3反应,以便在单晶片热学CVD室内形成碳掺杂氧化硅氮化膜。
上述说明虽为针对本发明的实施例,但本发明的其它及进一步实施例亦可改变而仍不脱离其基本范畴,而该基本范畴是由所附的权利要求决定的。

Claims (28)

1.一种用以在半导体基材上以低温沉积膜的设备,包括:
处理室本体和室盖,该处理室本体和室盖界定出处理区;
基材托架,配置在该处理区中;
气体输送系统,装配在该室盖上,该气体输送系统包括转接环和两个折流板,该转接环和两个折流板界定出气体混合区,该气体输送系统还包括直接与该转接环接触的面板;
加热元件,配置对该转接环加热;以及
狭缝阀衬垫,部分填充该处理室本体中的狭缝阀通道。
2.如权利要求1所述的设备,其特征在于,该些折流板中的一个被固定至该室盖并且另一个折流板被固定至该转接环。
3.如权利要求1所述的设备,其特征在于,该加热元件直接接触该转接环。
4.如权利要求1所述的设备,其特征在于,所述狭缝阀衬垫表面包含电镀铝。
5.如权利要求1所述的设备,其特征在于,还包括环绕该基材托架的环形排气磊送板及位于该排气磊送板顶部上的平面的环形排气板盖板,其中该排气板盖板有多个分配的孔。
6.如权利要求1所述的设备,其特征在于,还包括气化器,与该混合区互相流通。
7.如权利要求6所述的设备,其特征在于,该气化器是与双(第三-丁基胺)硅烷的供应源互相流通。
8.一种用以在半导体基材上以低温沉积膜的设备,包括:
处理室本体和室盖,该处理室本体和室盖界定出处理区;
第一折流板,固定至该室盖;
转接环,固定至该室盖;
加热元件,与该转接环接触;
第二折流板,固定至该转接环;
面板,与该转接环接触;
狭缝阀衬垫,部分填充该处理室本体中的狭缝阀通道;以及
基材托架,配置在该处理区中。
9.如权利要求8所述的设备,其特征在于,还包括环绕该基材托架的排气磊送板及位于该排气磊送板顶部上的排气板盖板,其中该排气板盖板有多个分配的孔。
10.如权利要求8所述的设备,其特征在于,还包括气化器,与该混合区互相流通。
11.如权利要求10所述的设备,其特征在于,该气化器与双(第三-丁基胺)硅烷的供应源互相流通。
12.如权利要求10所述的设备,其特征在于,该气化器与承载气体系统互相流通。
13.如权利要求8所述的设备,其特征在于,所述狭缝阀衬垫表面包含电镀铝。
14.如权利要求13所述的设备,其特征在于,该基材托架位于该面板下方而该面板位于该些折流板的下方。
15.一种用于在基材上沉积包含硅和氮的层的方法,包括:
使双(第三-丁基胺)硅烷气化;
使该双(第三-丁基胺)硅烷流入处理室内,该处理室具有狭缝阀衬垫、面板、以及混合区,该狭缝阀衬垫部分填充形成于该处理室中的狭缝阀通道,该混合区由转接环和至少两个折流板所界定;
对该转接环加热;
对该面板加热;
使该双(第三-丁基胺)硅烷流经该加热的面板而进入基材上方的处理区。
16.如权利要求15所述的方法,其特征在于,还包括在温度550℃至800℃下沉积该层。
17.如权利要求15所述的方法,其特征在于,还包括在压力10至350Torr下沉积该层。
18.如权利要求15所述的方法,其特征在于,还包括在该双(第三-丁基胺)硅烷进入该混合区之前先将该双(第三-丁基胺)硅烷与氨、氮、联胺、氦、氢、锗烷或上述的混合物混合。
19.如权利要求15所述的方法,其特征在于,还包括选择该加热的面板和该基材的间距介于675-850密耳之间。
20.如权利要求15所述的方法,其特征在于,还包括将该面板的温度维持在100℃至350℃之间。
21.如权利要求20所述的方法,其特征在于,还包括将该面板与该室的盖进行热隔离。
22.一种用于在基材上沉积包含硅和氮的层的方法,包括:
将包含双(第三-丁基胺)硅烷和承载气体的气体混合物流入处理室,该处理室具有位于盖、转接环以及一个折流板之间的混合区,其中该转接环将至少一个折流板与该盖热隔离;
加热该转接环;
加热狭缝阀衬垫;
将该气体混合物流经加热的气体分配板进入基材上方的处理区;以及
将该基材加热以沉积该层。
23.如权利要求22所述的方法,其特征在于,还包括将该基材加热至温度550℃至800℃之间。
24.如权利要求22所述的方法,其特征在于,还包括选择该气体分配板和该基材的间距,该间距介于675-850密耳之间。
25.如权利要求22所述的方法,其特征在于,还包括将排放气体经由排气磊送板以及经由与该排气磊送板接触的排气板盖板中的孔从该处理室抽出,其中基材托架向该排气磊送板提供热量。
26.如权利要求22所述的方法,其特征在于,该气体混合物还包括氨。
27.如权利要求22所述的方法,其特征在于,还包括通过接触该转接环的元件来加热该转接环。
28.如权利要求22所述的方法,其特征在于,还包括在压力10至350Torr下沉积该层。
CN201210069512.4A 2003-11-25 2004-08-25 氮化硅的热化学气相沉积 Expired - Fee Related CN102586757B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US52524103P 2003-11-25 2003-11-25
US60/525,241 2003-11-25
US10/911,208 US20050109276A1 (en) 2003-11-25 2004-08-04 Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US10/911,208 2004-08-04

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2004800408458A Division CN1906326B (zh) 2003-11-25 2004-08-25 氮化硅的热化学气相沉积

Publications (2)

Publication Number Publication Date
CN102586757A CN102586757A (zh) 2012-07-18
CN102586757B true CN102586757B (zh) 2014-09-03

Family

ID=34595251

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2004800408458A Expired - Fee Related CN1906326B (zh) 2003-11-25 2004-08-25 氮化硅的热化学气相沉积
CN201210069512.4A Expired - Fee Related CN102586757B (zh) 2003-11-25 2004-08-25 氮化硅的热化学气相沉积

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2004800408458A Expired - Fee Related CN1906326B (zh) 2003-11-25 2004-08-25 氮化硅的热化学气相沉积

Country Status (7)

Country Link
US (2) US20050109276A1 (zh)
EP (1) EP1685272B1 (zh)
JP (1) JP4801591B2 (zh)
KR (3) KR101216202B1 (zh)
CN (2) CN1906326B (zh)
DE (1) DE602004018021D1 (zh)
WO (1) WO2005059200A1 (zh)

Families Citing this family (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7001844B2 (en) * 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7371649B2 (en) * 2005-09-13 2008-05-13 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
US7498270B2 (en) * 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7294581B2 (en) * 2005-10-17 2007-11-13 Applied Materials, Inc. Method for fabricating silicon nitride spacer structures
US7465669B2 (en) * 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7416995B2 (en) * 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US8398816B1 (en) * 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US20070238254A1 (en) * 2006-03-28 2007-10-11 Applied Materials, Inc. Method of etching low dielectric constant films
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080026517A1 (en) * 2006-07-28 2008-01-31 Grudowski Paul A Method for forming a stressor layer
CN101165868B (zh) * 2006-10-20 2010-05-12 北京北方微电子基地设备工艺研究中心有限责任公司 晶片处理室的内衬及包含该内衬的晶片处理室
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7922863B2 (en) * 2006-12-22 2011-04-12 Applied Materials, Inc. Apparatus for integrated gas and radiation delivery
US7678698B2 (en) * 2007-05-04 2010-03-16 Freescale Semiconductor, Inc. Method of forming a semiconductor device with multiple tensile stressor layers
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US8643124B2 (en) 2007-05-25 2014-02-04 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US20090181553A1 (en) * 2008-01-11 2009-07-16 Blake Koelmel Apparatus and method of aligning and positioning a cold substrate on a hot surface
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
JP5439771B2 (ja) * 2008-09-05 2014-03-12 東京エレクトロン株式会社 成膜装置
US20110045182A1 (en) * 2009-03-13 2011-02-24 Tokyo Electron Limited Substrate processing apparatus, trap device, control method for substrate processing apparatus, and control method for trap device
SG10201401671SA (en) * 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
US20110101442A1 (en) * 2009-11-02 2011-05-05 Applied Materials, Inc. Multi-Layer Charge Trap Silicon Nitride/Oxynitride Layer Engineering with Interface Region Control
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
WO2012029709A1 (ja) * 2010-08-31 2012-03-08 株式会社島津製作所 非晶質窒化珪素膜およびその製造方法
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
TWI534897B (zh) * 2011-01-14 2016-05-21 賽普拉斯半導體公司 具有多重氮氧化物層之氧化物-氮化物-氧化物堆疊
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5730638B2 (ja) 2011-03-28 2015-06-10 東京エレクトロン株式会社 基板処理装置の処理室内構成部材及びその温度測定方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
CN102828167B (zh) * 2011-06-13 2015-02-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种排气方法、装置及基片处理设备
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9234278B2 (en) * 2012-01-20 2016-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. CVD conformal vacuum/pumping guiding design
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
CN205177785U (zh) * 2013-03-14 2016-04-20 应用材料公司 处理腔室及用于将热线源耦接至该处理腔室的装置
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
CN104120403B (zh) * 2014-07-23 2016-10-19 国家纳米科学中心 一种氮化硅膜材料及其制备方法
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102079501B1 (ko) * 2014-10-24 2020-02-20 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102438139B1 (ko) 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10954594B2 (en) * 2015-09-30 2021-03-23 Applied Materials, Inc. High temperature vapor delivery system and method
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
CN109072426B (zh) * 2016-02-26 2021-12-03 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
CN108394876B (zh) * 2017-02-07 2021-04-02 新疆晶硕新材料有限公司 氮硅烷及其生产方法、氮化硅及其生产方法
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US20200043722A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Cvd based spacer deposition with zero loading
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113795908A (zh) 2019-04-08 2021-12-14 应用材料公司 用于修改光刻胶轮廓和调整临界尺寸的方法
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
KR20210158409A (ko) * 2019-05-22 2021-12-30 어플라이드 머티어리얼스, 인코포레이티드 경사면 에칭 챔버를 위한 가열기 지지 키트
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2021257773A1 (en) 2020-06-17 2021-12-23 Applied Materials, Inc. High temperature chemical vapor deposition lid
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
CN111996590B (zh) * 2020-08-14 2021-10-15 北京北方华创微电子装备有限公司 一种工艺腔室
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
CN112553594B (zh) * 2020-11-19 2022-10-21 北京北方华创微电子装备有限公司 反应腔室和半导体工艺设备
US20230073150A1 (en) * 2021-09-09 2023-03-09 Applied Materials, Inc. Heated lid for a process chamber
TWI790061B (zh) * 2021-12-24 2023-01-11 天虹科技股份有限公司 用以改善基板溫度分布的薄膜沉積機台

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0835950A1 (en) * 1996-10-11 1998-04-15 Ebara Corporation Reactant gas ejector head
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
CN1337736A (zh) * 2000-07-31 2002-02-27 株式会社日立制作所 半导体集成电路器件的制造方法

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US164890A (en) * 1875-06-22 Improvement in cartridge-boxes
US203255A (en) * 1878-05-07 Improvement in bale-ties
US4496609A (en) * 1969-10-15 1985-01-29 Applied Materials, Inc. Chemical vapor deposition coating process employing radiant heat and a susceptor
JPH0826460B2 (ja) * 1987-07-10 1996-03-13 日電アネルバ株式会社 成膜装置および方法
JP2804762B2 (ja) * 1988-07-19 1998-09-30 東京エレクトロン株式会社 プラズマ処理装置
JPH0660408B2 (ja) * 1988-12-16 1994-08-10 日電アネルバ株式会社 薄膜作製方法および装置
JPH0824191B2 (ja) * 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US6379466B1 (en) * 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
US5300322A (en) * 1992-03-10 1994-04-05 Martin Marietta Energy Systems, Inc. Molybdenum enhanced low-temperature deposition of crystalline silicon nitride
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5511799A (en) * 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
US5676205A (en) * 1993-10-29 1997-10-14 Applied Materials, Inc. Quasi-infinite heat source/sink
TW275132B (en) * 1994-08-31 1996-05-01 Tokyo Electron Co Ltd Treatment apparatus
JP3513543B2 (ja) * 1994-11-21 2004-03-31 テクノポリマー株式会社 熱可塑性樹脂組成物
US5894887A (en) * 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US5772773A (en) * 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US6116184A (en) * 1996-05-21 2000-09-12 Symetrix Corporation Method and apparatus for misted liquid source deposition of thin film with reduced mist particle size
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5977519A (en) * 1997-02-28 1999-11-02 Applied Komatsu Technology, Inc. Heating element with a diamond sealing material
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6018616A (en) * 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6202656B1 (en) * 1998-03-03 2001-03-20 Applied Materials, Inc. Uniform heat trace and secondary containment for delivery lines for processing system
JP4214585B2 (ja) * 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6572814B2 (en) * 1998-09-08 2003-06-03 Applied Materials Inc. Method of fabricating a semiconductor wafer support chuck apparatus having small diameter gas distribution ports for distributing a heat transfer gas
JP4021593B2 (ja) * 1998-09-25 2007-12-12 株式会社東芝 半導体装置およびその製造方法
JP3210627B2 (ja) * 1998-09-30 2001-09-17 アプライド マテリアルズ インコーポレイテッド 半導体製造装置
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6462371B1 (en) * 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
US6351013B1 (en) * 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6548414B2 (en) * 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
JP2001156065A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP2001156067A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2001185492A (ja) * 1999-12-24 2001-07-06 Hitachi Kokusai Electric Inc 半導体製造装置
KR100390822B1 (ko) * 1999-12-28 2003-07-10 주식회사 하이닉스반도체 이미지센서에서의 암전류 감소 방법
JP3819660B2 (ja) * 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6261408B1 (en) * 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
US6518626B1 (en) * 2000-02-22 2003-02-11 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective of etchants
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6271054B1 (en) * 2000-06-02 2001-08-07 International Business Machines Corporation Method for reducing dark current effects in a charge couple device
EP1167572A3 (en) * 2000-06-22 2002-04-10 Applied Materials, Inc. Lid assembly for a semiconductor processing chamber
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
JP4381588B2 (ja) * 2000-10-25 2009-12-09 ソニー株式会社 加熱を伴う処理装置
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6583343B1 (en) * 2000-12-22 2003-06-24 Pioneer Hi-Bred International, Inc. Soybean variety 91B12
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6709721B2 (en) * 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
KR100687531B1 (ko) * 2001-05-09 2007-02-27 에이에스엠 저펜 가부시기가이샤 반도체 장치용 저유전상수 절연막의 형성방법
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US6566246B1 (en) * 2001-05-21 2003-05-20 Novellus Systems, Inc. Deposition of conformal copper seed layers by control of barrier layer morphology
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
JP2002359233A (ja) * 2001-06-01 2002-12-13 Hitachi Ltd プラズマ処理装置
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6555166B2 (en) * 2001-06-29 2003-04-29 International Business Machines Method for reducing the microloading effect in a chemical vapor deposition reactor
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6559074B1 (en) * 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US20030124818A1 (en) * 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
JP4255237B2 (ja) * 2002-02-28 2009-04-15 株式会社日立国際電気 基板処理装置及び基板処理方法
US20030216981A1 (en) * 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (ja) * 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US6613637B1 (en) * 2002-05-31 2003-09-02 Lsi Logic Corporation Composite spacer scheme with low overlapped parasitic capacitance
US20040033677A1 (en) * 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
JP4265409B2 (ja) * 2003-02-13 2009-05-20 三菱マテリアル株式会社 Si−Si結合を有する有機Si含有化合物を用いたSi含有薄膜の形成方法
US7031600B2 (en) * 2003-04-07 2006-04-18 Applied Materials, Inc. Method and apparatus for silicon oxide deposition on large area substrates
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0835950A1 (en) * 1996-10-11 1998-04-15 Ebara Corporation Reactant gas ejector head
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
CN1337736A (zh) * 2000-07-31 2002-02-27 株式会社日立制作所 半导体集成电路器件的制造方法

Also Published As

Publication number Publication date
EP1685272A1 (en) 2006-08-02
DE602004018021D1 (de) 2009-01-08
KR101216202B1 (ko) 2012-12-27
JP4801591B2 (ja) 2011-10-26
KR101216203B1 (ko) 2012-12-27
CN102586757A (zh) 2012-07-18
CN1906326A (zh) 2007-01-31
EP1685272B1 (en) 2008-11-26
CN1906326B (zh) 2012-05-16
KR20060113959A (ko) 2006-11-03
KR101254115B1 (ko) 2013-04-12
WO2005059200A1 (en) 2005-06-30
JP2007515060A (ja) 2007-06-07
US20050109276A1 (en) 2005-05-26
US20060102076A1 (en) 2006-05-18
KR20110139323A (ko) 2011-12-28
KR20120008074A (ko) 2012-01-25

Similar Documents

Publication Publication Date Title
CN102586757B (zh) 氮化硅的热化学气相沉积
US7129187B2 (en) Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US20070082507A1 (en) Method and apparatus for the low temperature deposition of doped silicon nitride films
WO2006039503A2 (en) Method and apparatus for low temperature dielectric for deposition using monomolecular precursors
KR20080033965A (ko) 균일한 배치식 막 증착법 및 그 방법에 의해 제조된 막
EP1907599A2 (en) Method for depositing silicon-containing films
EP1204783A1 (en) A method of forming a silicon nitride layer on a semiconductor wafer
US10903070B2 (en) Asymmetric wafer bow compensation by chemical vapor deposition
US11791136B2 (en) Deposition radial and edge profile tunability through independent control of TEOS flow
CN100501970C (zh) 前金属介电层的有限热预算形成
JP2020530527A (ja) 熱化学気相堆積(cvd)における均一性を改善するための装置及び方法
US6709721B2 (en) Purge heater design and process development for the improvement of low k film properties
US20050126484A1 (en) Edge flow faceplate for improvement of CVD film properties
EP1788118A2 (en) Thermal chemical vapor deposition of silicon nitride
TW202314029A (zh) 基板處理方法、半導體裝置的製造方法、基板處理裝置及程式
Ekbundit et al. Characterization of film uniformity in LPCVD TEOS vertical furnace

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140903

Termination date: 20180825