KR20080033965A - 균일한 배치식 막 증착법 및 그 방법에 의해 제조된 막 - Google Patents

균일한 배치식 막 증착법 및 그 방법에 의해 제조된 막 Download PDF

Info

Publication number
KR20080033965A
KR20080033965A KR1020087002811A KR20087002811A KR20080033965A KR 20080033965 A KR20080033965 A KR 20080033965A KR 1020087002811 A KR1020087002811 A KR 1020087002811A KR 20087002811 A KR20087002811 A KR 20087002811A KR 20080033965 A KR20080033965 A KR 20080033965A
Authority
KR
South Korea
Prior art keywords
wafer
wafer substrate
reactant
batch
precursor
Prior art date
Application number
KR1020087002811A
Other languages
English (en)
Inventor
로버트 제프리 베일리
타이칭 토마스 치우
콜 포터
올리비에 라파라
로버트 후드 채텀
마틴 모가드
헬무쓰 트레이첼
Original Assignee
에비자 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에비자 테크놀로지, 인크. filed Critical 에비자 테크놀로지, 인크.
Publication of KR20080033965A publication Critical patent/KR20080033965A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/477Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Fluid Mechanics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 각 웨이퍼 기판이 표면을 가지는 웨이퍼 기판 배치를 제공한다. 각 표면에는, 웨이퍼 기판 배치의 각각의 웨이퍼 기판의 표면에 동시에 적용된 재료층이 코팅되어 있다. 각 재료층은 에지 영역을 제외한 표면을 가로지르는 두께가 4두께% 미만의 범위의 편차를 가지며, 웨이퍼 간 두께 편차는 3% 미만으로 제조된다. 이와 같이 제조된 재료층은 탄소와 염소를 가지지 않는 산화실리콘, 질화실리콘 또는 산질화실리콘 재료층이다. 산화실리콘 또는 산질화실리콘을 형성하기 위해서는 공반응제가 포함되어야 한다. 또한, 질화 공반응제를 포함시키면 질화실리콘이 형성된다. 이러한 웨이퍼 기판 배치의 제조 방법에는, 웨이퍼 기판 배치를 포함하는 반응기에 전구체를 공급하는 단계와, 이러한 재료층을 생성하기에 충분한 웨이퍼 기판 온도, 전체 압력, 및 전구체 유동 속도로 전구체를 반응시키는 단계가 포함된다. 반응기 내부에 오리피스 중 하나 이상이 상기 각 웨이퍼 기판 배치와 맞추어진 다중 오리피스 및 배출 슬릿을 가지는 수직 튜브 주입기를 통하여 전구체 및 필요한 공반응제를 전달함으로써, 배치 내에서 각 웨이퍼 기판의 표면을 가로지르는 유동이 생성되어, 웨이퍼 내 및 웨이퍼 간 균일성이 달성된다.
증착, 반도체, 웨이퍼, 배치, 횡단, 유동, 실리콘

Description

균일한 배치식 막 증착법 및 그 방법에 의해 제조된 막{UNIFORM BATCH FILM DEPOSITION PROCESS AND FILMS SO PRODUCED}
[관련출원]
본 출원은 2005년 7월 9일에 출원된 미국 특허 가출원 제60/697,784호를 우선권으로 주장하며, 상기 문헌의 내용이 원용에 의해 본 명세서에 포함된다.
[기술분야]
본 발명은 일반적으로 실리콘-질소, 실리콘-산소, 또는 실리콘-질소-산소 재료의 막을 복수의 기판에 동시에 증착하는 것에 관한 것으로서, 특히 실리콘-질소, 실리콘-산소, 또는 실리콘-질소-산소 재료를 형성하는 불순물 프로파일을 향상시키면서 어느 정도의 웨이퍼 내 및 웨이퍼 간의 균일도를 달성하기 위하여 실릴아민 전구체를 횡단-유동 라이너(across-flow liner)와 조합하여 사용하는 것에 관한 것이다.
반도체 기판이나 웨이퍼로부터 집적 회로(ICs) 또는 반도체 소자를 제조하기 위하여 열적 처리 장치가 사용되는 것이 일반적이다. 반도체 웨이퍼의 열적 처리에는, 예를 들면, 도펀트 재료의 열처리, 어닐링, 확산 또는 드라이빙(driving), 재료층의 증착이나 성장, 및 기판으로부터의 재료의 에칭이나 제거를 들 수 있다. 이러한 공정에 있어서는 흔히, 프로세스 전 및 프로세스 중에 웨이퍼를 높게는 1300℃, 낮게는 300℃로 가열할 필요가 있으며, 프로세스 가스나 반응제와 같은 하나 이상의 유체가 웨이퍼로 전달될 필요가 있다. 게다가, 이러한 공정에 있어서는 통상, 프로세스 가스의 온도가 변하거나, 프로세스 가스가 프로세스 챔버에 유입되는 속도가 변하더라도, 전체 공정 동안에 웨이퍼를 균일한 온도로 유지해야 할 필요가 있다.
질화실리콘, 이산화실리콘, 및 산질화실리콘은 반도체 소자의 제조에 널리 사용되는 유전체이다. 이들 막은 얻고자하는 재료의 조성에 따라서 통상 실란(SiH4), 디실란(Si2H6), 디클로로실란(DSC)(SiCl2H2), 오르가노실란 등과 같은 실리콘 원료와, 암모니아(NH3), 산소(O2), 오존(O3), 아일산화질소(N2O), 이산화질소(NO2), 산화질소(NO) 등과 같은 다양한 반응 원료로부터 증착된다. 그리고, 오존(O3)은 노출된 Si 표면과 반응할 경우에 SiO2를 직접 형성할 가능성이 있는 종으로서 연구되어 왔다. 이들 공정의 온도는 일반적으로 600℃를 초과한다. 첨단 반도체 소자의 고속화 필요에 따라서 소자 제조에서의 총 열 소요량이 감소되어야 한다. 이로 인하여 유전체층의 가공 온도를 550℃ 미만, 바람직하게는 500℃ 미만으로 감소시켜야 할 필요가 있다. 가장 바람직한 증착 온도는 400℃ 이하이다. 저온 유전체 증착의 필요성을 해결하기 위하여 몇몇 신규한 실리콘 전구체가 개발되고 있다.
종래의 배치 공정식 화학 증착법과 관련해서는, 높은 증착 온도뿐만 아니라, 이들 공정과 관련된 오염물이 배리어 또는 절연층으로 작용할 증착 재료의 효율을 제한할 것이라는 우려가 커지고 있다. 예를 들어, 염소화 실란 전구체나 공반응제를 사용함으로써, 증착층에 염소가 도입되어 재료의 성능이 손상된다. 질화실리콘 증착의 경우, 염소화 실란과 암모니아가 반응하여 염화암모늄이 생성되어 반응기의 배출구를 막고, 증착층 상에 응축되어서 웨이퍼 기판이 증착 이후에도 상승된 온도로 남아있게 함으로써, 열 소요량을 증가시키고, 재료 처리량을 감소시키며, 확산성 염소 오염물이 여전히 도입된다.
염소화 증착 전구체와 관련된 공정 및 성능면에서의 제약을 해결하기 위한 노력으로써, 여러 가지 오르가노실란을 사용하게 되었다. 불행하게도 이들 전구체는 재료의 증착 동안에 코크스의 생성으로 인하여 사용이 제한된다. 불완전 열분해로 말미암아 증착 재료 내에 탄소가 포함되면, 생성되는 재료의 전기적 절연성을 감소시킬 뿐만 아니라 소자 반도체 부재를 손상시킬 수 있는 탄소가 확산될 우려가 있다.
염소 및 탄소 유입과 관련된 문제를 해결하기 위하여 여러 가지 실릴아민이 연구되고 있다. 실릴아민은 실리콘-질소 결합을 포함하므로, 이들 전구체는 통상적으로 증착 온도가 낮으며, 유사체인 클로로실란 및 오르가노실란에 비하여 오염물 유입 프로파일이 양호할 것으로 주목되어 왔다. 비치환 실릴아민의 경우, 탄소와 염소가 모두 존재하지 않으며, 생성되는 증착 재료층에 탄소 및 염소 오염물이 없다. 실릴아민은 불순물로서 수소가 도입되는 경향이 있으며, 이들 불순물은 쉽게 이동하여 재료의 성능을 손상시킨다. 트리실릴아민과 같은 실릴아민으로부터 질화실리콘 및 산질화실리콘을 증착하는 것이 보고되었지만, 생성되는 막에 있어서의 수소 함량이나 이들 물질의 배치식 증착에 대해서는 거의 주목받지 못했다. 이러한 노력으로서는, 대표적으로 US 2005/0100670 A1을 들 수 있다.
종래의 배치식 열적 처리 장치에는, 통상적으로 로(furnace)의 내부나 로에 둘러싸여서 위치하는 프로세스 챔버가 포함된다. 열적으로 처리되어야 할 기판은 상기 프로세스 챔버에 봉입되고, 증착 반응이 수행되는 소정 온도로 가열된다. 화학 증착법(CVD)과 같은 많은 공정에 있어서, 밀봉된 프로세스 챔버는 우선 소정의 프로세스 압력으로 배기되고, 프로세스 챔버가 소정의 온도에 도달하면, 반응성 가스 또는 프로세스 가스가 도입되어, 기판 상에 반응물 화학종이 생성 또는 증착된다. 예를 들어, 저압 화학 증착법(LPCVD), 플라즈마 강화 화학 증착법(PECVD), 및 열적 CVD를 포함하는 다양한 형태의 CVD가 수행될 수 있지만, 열적 소요량, 소정의 막 균일성 및 다공도, 및 오염물로 인한 제한 등의 인자(factor)에 있어서 균형을 이루어야 한다는 기술적 기준을 만족시키는 것은 거의 없다. 현재까지 웨이퍼 내(WIW; within-wafer) 및 웨이퍼 간(WTW; wafer-to-wafer) 균일성을 만족시키기 위한 배치식 재료층 증착 노력은 제한적으로만 성공했을 뿐이었다.
열적 산화는 고품질 이산화실리콘 막을 생성하며, 이는 전자 소자의 활성 영역의 전기적 절연(electrical isolation)에 중요하다. 열적 산화는, 통상적으로, 대기압하에서나 대기압보다 약간 낮은 압력하에서 750℃ 내지 1150℃의 온도 범위에서 O2(건식 산화) 또는 스팀(습식 산화)을 이용하여 수행된다.
하지만, 열적 산화는 몇 가지 제약이 있다. 열적 산화의 속도는 실리콘 표면의 결정 배향에 크게 의존한다. (111) 표면의 충전 밀도가 높기 때문에, (111) 표면 상에서의 산화가 (100) 표면 상에서보다 상당히 많이 진행된다. 로직 어플리케이션(logic application)용 STI(shallow trench isolation) 및 DRAM 어플리케이션용 트렌치 분리에는 트렌치 내에 실리콘의 (100) 표면, (110) 표면, 및 (111) 표면이 관련되어 있다. 둥글면서 응력이 작용하지 않는(stress-released) 트렌치 코너를 가진 트렌치 표면 상에 균일한 산화물 라이너를 생성하기는 매우 곤란하였으며, 이로 인하여 순차적으로 논리 소자의 누설(leakage) 및 DRAM 소자의 데이터 보유 시간의 감소가 야기되었다. 게다가, 열적 산화의 속도는 첨가되는 도펀트의 양과 성질에 대하여 민감하며, 또한 단결정 및 다결정 실리콘 표면 사이에도 상이하므로, 플래시 메모리 소자의 추가적인 스케일링(scaling)을 방해한다. 열적 산화의 균일성을 향상시키기 위해서는, 산화는 약 5torr의 낮은 압력에서 행해져야 하며, 이로 인하여 처리량이 제한된다.
따라서, 통상적인 온도 및 허용 가능한 오염물 프로파일 조건하에서, WIW 및 WTW 균일성을 가지는 질화실리콘, 산화실리콘, 및 산질화실리콘의 층을 가진 웨이퍼 기판 배치를 수득할 수 있는 방법이 필요하다.
[발명의 요약]
본 발명은 각 웨이퍼 기판이 표면을 가지는 웨이퍼 기판 배치를 제공한다. 각 표면에는, 각 웨이퍼 기판 배치의 각각의 웨이퍼 기판 표면에 대하여 동시에 적용된 재료층이 코팅되어 있다. 각 재료층은, 에지 영역을 제외한 표면을 가로질러 4두께%(3 시그마) 미만의 범위의 편차를 가지는 두께이며, 웨이퍼 간 두께 편차는 3% 미만이 되도록 적용된다. 이와 같이 적용된 재료층은 탄소와 염소를 포함하지 않는 산화실리콘, 질화실리콘 또는 산질화실리콘이다. 상기 재료의 증착은 600℃ 미만에서 행해지는 것이 이상적이다. 질화실리콘 재료층은 하기 식 I 또는 II를 가지는 전구체 단독 또는 이들과 공반응제를 조합함으로써 형성된다.
Figure 112008008612953-PCT00001
또는
Figure 112008008612953-PCT00002
여기에서, R1, R2 및 R3는 각각 독립적으로 수소 또는 C1 -8 알킬이며, R2 및 R3가 모두 수소일 경우 R1은 SiH3이며, R4는 수소, C1 -8 알킬, 또는 R1, R2 및 R3에 결합된 Si이다. 산화실리콘 또는 산질화실리콘을 형성하기 위해서는 공반응제를 포함시켜야 한다. 질화 공반응제를 포함시킴으로써 질화실리콘 역시 형성된다.
이러한 웨이퍼 기판 배치의 형성 방법에는, 웨이퍼 기판 배치를 포함하는 반응기에 전구체를 공급하는 단계와, 상기 전구체를 이러한 재료층이 생성되기에 충분한 웨이퍼 기판 온도, 전체 압력, 및 전구체 유동 속도로 반응시키는 단계가 포함된다. 반응기 내에서 하나 이상의 오리피스가 웨이퍼 기판 배치의 각각의 웨이퍼 기판과 맞추어진 다중 오리피스 및 배출 슬릿을 가지는 수직 튜브 주입기(injector)를 통하여 전구체 및 필요로 하는 반응제를 전달함으로써, 배치 내에서 각 웨이퍼 기판의 표면을 가로지르는 유동이 생성되어 상술한 웨이퍼 내 및 웨이퍼 간 균일성이 달성된다.
도 1은 본 발명의 일 실시예에 따른 횡단-유동 주입기 시스템을 가지는 열적 처리 장치의 단면도를 나타낸다.
도 2는, 도 1의 본 발명의 일 실시예에 따른 열적 처리 장치의 일부분에 대한 측면 단면도로서, 라이너에 대한 주입기 오리피스의 위치와 웨이퍼에 대한 배출 슬롯의 위치를 나타낸다.
도 3은 튜브 주입기를 수용하는 스텝 라이너를 포함하는, 도 1의 A-A선에 따른 도 1의 열적 처리 장치의 일부분에 대한 평면도로서, 주입기 오리피스로부터 웨이퍼를 가로질러 배출 포트로 이어지는 가스 유동을 나타낸다.
도 4는 횡단-유동 스텝 라이너의 하향 사시도로서, 본 발명의 일 실시예에 따른 길이 방향 벌지 영역(bulging section)을 나타낸다.
도 5는 횡단-유동 스텝 라이너의 하향 사시도로서, 본 발명의 일 실시예에 따른 라이너 내의 복수의 배출 슬롯을 나타낸다.
도 6은, 도 4 및 도 5의 횡단-유동 라이너의 측면도이다.
도 7은, 도 4-6의 횡단-유동 라이너의 평면도이다.
도 8은, 도 7에 도시된 횡단-유동 라이너의 벌지 부분에 대한 확대 평면도이다.
도 9는 횡단-유동 주입 시스템에 대한 사시도이다.
도 10은 횡단-유동 주입 시스템의 또 다른 실시예에 대한 사시도이다.
도 11은 본 발명의 일 실시예에 따른 벌지 영역을 가지는 횡단-유동 라이너의 평면도로서, 오리피스로부터 웨이퍼의 중심을 거쳐서 배출 슬롯으로 배출되는 가스 유동을 나타낸다.
도 12는 본 발명의 일 실시예에 따른 벌지 영역을 가지는 횡단-유동 라이너의 평면도로서, 오리피스로부터의 가스 유동이, 웨이퍼의 중심을 거쳐서 배출 슬롯으로 배출되기 전에, 라이너의 내벽에 영향을 미치는 것을 나타낸다.
도 13은 본 발명의 일 실시예에 따른 벌지 영역을 가지는 횡단-유동 라이너의 평면도로서, 오리피스로부터의 가스 유동이, 웨이퍼를 가로질러 흘러서 배출 슬롯으로 배출되기 전에, 가스 유동 상호 간에 그리고 라이너의 내벽에 영향을 미치는 것을 나타낸다.
도 14는 본 발명의 일 실시예에 따른 횡단-유동 라이너와 라이너 내벽을 향하는 주입 오리피스를 가지는 2개의 주입 튜브를 포함하는 챔버의 내부에 있어서의 웨이퍼 표면을 가로지르는 가스 유동 라인을 나타내는 도면이다.
도 15는 종래의 라이너와 라이너 내벽을 향하는 주입 오리피스를 가지는 2개 의 주입 튜브를 포함하는 챔버의 내부에 있어서의 웨이퍼 표면을 가로지르는 가스 유동 라인을 나타내는 도면이다.
도 16은 본 발명의 일 실시예에 따른 횡단-유동 라이너와 서로 대향하는 주입 오리피스를 가지는 2개의 주입 튜브를 포함하는 챔버의 내부에 있어서의 웨이퍼 표면을 가로지르는 가스 유동 라인을 나타내는 도면이다.
도 17은 종래의 라이너와 서로 대향하는 주입 오리피스를 가지는 2개의 주입 튜브를 포함하는 챔버의 내부에 있어서의 웨이퍼 표면을 가로지르는 가스 유동 라인을 나타내는 도면이다.
도 18은 본 발명의 일 실시예에 따른 횡단-유동 라이너와 웨이퍼의 중심을 향하는 주입 오리피스를 가지는 2개의 주입 튜브를 포함하는 챔버의 내부에 있어서의 웨이퍼 표면을 가로지르는 가스 유동 라인을 나타내는 도면이다.
도 19는 종래의 라이너와 웨이퍼의 중심을 향하는 주입 오리피스를 가지는 2개의 주입 튜브를 포함하는 챔버의 내부에 있어서의 웨이퍼 표면을 가로지르는 가스 유동 라인을 나타내는 도면이다.
도 20은 횡단-유동 라이너 및 라이너 내벽을 향하는 주입 포트를 가지는 주입 시스템을 포함하는 본 발명의 일 실시예에 따른 열적 처리 장치에 대한 수치 유체 역학적(CFD; computational flow dynamics) 시뮬레이션 결과(demonstration)를 나타낸다.
도 21은 횡단-유동 라이너 및 서로 대향하는 주입 포트를 가지는 주입 시스템을 포함하는 본 발명의 일 실시예에 따른 열적 처리 장치에 대한 CFD 시뮬레이션 결과를 나타낸다.
도 22는 횡단-유동 라이너 및 기판의 중심을 향하는 주입 포트를 가지는 주입 시스템을 포함하는 본 발명의 일 실시예에 따른 열적 처리 장치에 대한 CFD 시뮬레이션 결과를 나타낸다.
도 23은, 도 11-13의 라이너를 구비하지 않는 종래의 "상승-유동" 구조 반응기용 로드를 가로지르는 산소 원자 집단(concentration)의 CFD 시뮬레이션 결과를 나타낸다.
도 24는 횡단-유동 구조용 로드를 가로지르는 산소 원자 집단의 CFD 시뮬레이션 결과를 나타낸다.
도 25는, 도 1의 2개의 주입기 반응기에 있어서의 가스 유동을 예시적으로 나타낸 개략도이다.
도 26은 증착 온도를 함수로 하여 저-T 산화물 재료층 증착 및 웨이퍼 내(WIW) 1 시그마까지를 나타내는 그래프이다.
본 발명은 질화실리콘 재료, 산화실리콘 재료, 또는 산질화 실리콘 재료의 층이 증착된 반도체 웨이퍼 기판 배치로서의 용도를 가지며, 이러한 재료층은 4두께%(3 시그마) 미만의 웨이퍼 내 균일성과 3두께% 미만의 웨이퍼 간 균일성을 나타내며 탄소 및 염화물에 대한 오염 없이 동시적으로 제조된다. 이와 같은 웨이퍼 기판 배치를 달성하기 위한 공정은 웨이퍼 기판 표면에 대하여 반응제를 횡단-유동 분산시켜서 이루어진다.
본 명세서에서 사용된 웨이퍼 내(WIW) 편차는, 3mm의 에지 제외(edge exclusion) 영역과 웨이퍼 캐리어 보트 레일과 관련된 새도우 영역을 제외하고, 300mm의 평면형 웨이퍼 기판을 가로질러 가장 얇은 부분과 가장 두꺼운 부분의 증착 재료층 사이의 위상적 두께 편차로 정의된다.
본 명세서에서 사용된 웨이퍼 간(WTW) 편차는, 층의 증착을 위하여 동시에 처리된 다중 웨이퍼 배치 사이의 재료층 내에 있어서, 평균 두께에 있어서의 최대 차이로 정의된다.
웨이퍼 기판 배치에 본 발명의 재료층을 동시적으로 생성하기 위하여 실리콘-질소-실리콘(Si-N-Si) 구조 함유 전구체가 사용된다. 상기 전구체는 20℃의 불활성 분위기 하에서 안정적인 것이 바람직하다. 비환식(acyclic) 형태의 본 발명의 전구체는 하기 일반식을 가진다.
Figure 112008008612953-PCT00003
상기 전구체가 식 I에 따른 구조를 가질 경우, R1, R2 및 R3는 독립적으로 동일한 것이 바람직하다. R1, R2 및 R3가 모두 수소인 것이 보다 바람직하다. R1, R2 및 R3가 모두 수소일 경우, R4는 R1, R2 및 R3에 결합된 실리콘으로서, 식(I)이 트리실릴아민(TSA)이 되는데, 이 경우가 가장 바람직하다.
실리콘-질소-실리콘 구조 함유 환식 전구체는 다음 구조를 가진다.
Figure 112008008612953-PCT00004
여기에서, R1, R2 및 R4는 식 I의 비환식 전구체에 관하여 상술한 바와 동일한 것이다. 바람직하게는, R1 및 R2는 모두 동일하며, R4는 모두 동일하다. 더 바람직하게는, R1은 모두 수소이며, R2는 모두 수소이며, R4는 수소 또는 SiH3이다. 식(I) 및 (II)의 본 발명의 전구체에는 할로겐 모이어티(moiety), 구체적으로는 염소가 포함되어 있지 않은 것을 알 수 있으며, 결과적으로 제조되는 증착 재료층은 휘발성 부산물을 함유하는 염소 불순물 및 염소/염화물로부터 독립적이다. 재료층은 본 발명에 따라서 증착되며, 식(I) 또는 (II)의 전구체에 알킬 모이어티가 포함되어 있지만, 탄소가 실질적으로 포함되지 않는다. 하지만, 증착된 본 발명의 재료층에 탄소가 침입하는 것을 방지하기 위해서는, 증착 속도를 일반적으로 분당 10Å 미만으로 조절해야될 필요가 있다. 탄소를 포함하지 않는 본 발명의 재료층의 증착은, 실리콘, 질소 및 산소 원자만을 함유하는 전구체를 선택함으로써 용이하게 달성된다.
상술한 다중 전구체 혼합물은 여기에서뿐만 아니라 종래의 실리콘 함유 전구체 화합물과 함께 본 발명의 전구체의 용도로도 사용될 수 있을 것으로 생각된다. 게다가, 본 발명의 전구체 화합물에는 본 발명의 재료층에 포함될 수도 있는 미소량의 불순물이 함유될 수도 있는 것으로 생각된다. 비반응 조건 하에서, 사용 및 저장 전에 전구체를 더욱 정제함으로써 이러한 불순물의 유입은 허용 가능한 수준으로 감소된다. 또한, 본 발명의 전구체를 불활성 희석제(diluent)와 함께 저장하거나, 질량 유동 제어기(MFC)를 사용하는 등의 종래의 기술로 이러한 희석제와 함께 반응 챔버를 통하여 계량하는 것을 생각할 수 있다.
SiyN(여기에서, y는 0.75 내지 1임)층은, 통상적으로 450℃ 내지 800℃의 온도 범위로 유지된 웨이퍼 배치가 장입된 반응 챔버에 전구체를 주입함으로써 용이하게 형성되는 것으로 알려져 있다. y가 1 미만이고, 식 I 또는 II의 전구체에 알킬 모이어티가 없으면, y-1은 생성되는 질화실리콘 재료층에 수소가 삽입되는 양에 해당된다.
증착에 이어서, 암모니아와 같은 질소 소스의 존재하에서 수소 함유 질화실리콘 재료층을 어닐링(annealing)하는 것은, 상기 층으로부터 수소를 제거하고 제조되는 층의 질소 함량을 질소가 풍부한 질화실리콘(Si3N4)이 달성되는 지점까지 증가시키는 것으로 생각된다. 수소를 고갈시키는 어닐링 처리는 400℃를 초과하는 온도에서 수행될 수 있지만, 이러한 어닐링 처리는 온도 상승에 따라서 더욱 효과적이다. 웨이퍼 기판의 열 소요량이 문제가 될 경우에는, 신속한 열적 처리 및 기타 플래시 어닐링 기법을 사용할 수 있을 것으로 생각된다.
식 I 또는 II의 전구체의 열분해뿐만 아니라, 식(I) 또는 (II)의 전구체와 질화 또는 산화 공반응제를 반응시킴으로써 증착 메커니즘 및/또는 막 조성을 변경시킬 수 있다. 이러한 공반응제로서는 NH3, HN3, H2N2, 2차 아민, 3차 아민, NH* 라디칼, NH2 * 라디칼, O2, O3, O* 라디칼, OH* 라디칼, H2O, H2O2, NO, N2O, 및 NO2를 예시할 수 있다. 공반응제에는 탄소 원자 및 염소 원자가 없는 것이 바람직하다. 상기 공반응제를 사용한다면, 공반응제는 식 I 또는 II의 전구체와 함께 상기 전구체에 대하여 교차 박동 유동(alternating pulsatile flow)으로 주입되거나, 또는 전구체로부터 재료층이 증착된 이후에 반응 챔버에 주입된다. 공반응제를 후(post)-증착하게 되면 후속 공정이 변하게 된다. 이산화실리콘층을 증착하고자 한다면, 산소, 오존, 물 또는 이들의 조합과 같은 산소 함유 공반응제를 식 I 또는 II의 전구체와 함께 반응량만큼 주입하는 것이 바람직하다. 이와 유사하게, 질소 및 산소 함유 공반응제를 식 I 또는 II의 전구체와 함께 반응기에 주입하면, 층의 두께에 있어서 거의 변화가 없는 화학양론적 재료층이 생성된다. 산질화실리콘 전구체에는 NOx 분자; 산화 전구체 및 암모니아와 같은 질화 전구체의 조합; 또는 이들의 조합이 포함된다.
본 발명에 따른 동시적으로 적용되는 재료층을 함유하는 웨이퍼 기판 배치의 제조는, 통상적으로 50Torr 미만, 바람직하게는 10Torr 미만의 압력하에서 수행된다. 재료층을 증착하기 위하여 불활성 희석제 가스를 사용함으로써 반응기 압력을 전체 압력 100millitorr 내지 7Torr로 유지하는 것이 보다 바람직하다. 이러한 불 활성 희석제 가스로서는 희가스, 이질소(dinitrogen) 또는 이들의 조합을 예시할 수 있다. 재료층의 증착 속도는 증착되는 재료뿐만 아니라 유동 속도, 전체 반응 압력 및 온도에 따라서도 상당히 달라지는 것으로 생각된다. 온도, 전구체 유동 속도, 및 전체 압력의 증가에 따라서 본 발명의 모든 재료의 증착 속도가 빨라지는 경향이 있음을 당업자는 생각할 수 있을 것이다. 하기 실시예를 통하여 이러한 파라미터들의 특성을 보다 자세하게 기술한다.
본 발명에 따른 다양한 재료층을 증착하는 것과, 식 I 또는 II의 전구체가 1 내지 50sccm의 유동 속도로 공급될 경우에 이러한 증착이 수행되는 조건을 표 1에 나타내었으며, 공반응제 유동 속도 및 불활성 희석제 유동 속도의 단위는 전구체 유동 속도의 배수이다.
[표 1] - 전형적인 막 증착 조건
재료 공반응제 유동 속도 (전구체 유동 속도의 배수) 선택적인 불활성 희석제 (전구체 유동 속도의 배수) 증착 온도 (℃)
SiyN 예를 들면, NH3 0-80x 10-200x 480-600
SiOx 예를 들면, O2 5-100x 10-200x 200-600
SiOmNn 예를 들면, N2O 3-100x 10-200x 480-600
본 명세서에 기재된 다수의 공반응제는 라디칼 화학종과 평형인 것으로 생각할 수 있다. 특정한 메커니즘 이론에 제한되려는 의도는 없지만, 본 발명의 재료층 증착이 종래 기술에 비하여 상대적으로 낮은 온도 수행되기 때문에 이러한 라디칼 화학종이 수반되는 것으로 믿어진다. 표 1에 나타낸 온도 및 압력 조건하에서 생성되는 공지된 라디칼 화학종의 예로서는, 오존으로부터의 단일 산소(O*; singlet oxygen)가 그리고 N2O로부터의 NO*가 형성되는 것을 예시할 수 있다. 선택적으로, 재료층 증착 공정 중에 노출되는 식 I 또는 II의 전구체, 공반응제, 또는 이들의 조합과 함께 라디칼 생성자(generator)를 포함시킴으로써, 라디칼 화학종 집단의 생성이 증가된다. 본 발명의 환경 내에서 사용 가능한 종래의 라디칼 생성 소스로서는 플라즈마 방전 전극, 광분해 소스, 및 속열 ISSG(rapid thermal in-situ steam generation)법 처리를 들 수 있다. 프리 라디칼 생성자의 첨가와 관련된 라디칼 화학종 집단의 증가는, 요구되는 증착 온도를 감소시키는 경향이 있으므로, 웨이퍼 표면을 가로질러서 그리고 전체 웨이퍼 배치 반응기 부피에 있어서의 반응 조건의 균일성 유지에 유의해야 함을 당업자라면 이해할 수 있을 것이다.
배치식 공정으로 재료층 증착이 수행되도록 반응기를 적절히 고안함으로써, 각 웨이퍼 기판 배치의 각각의 웨이퍼 기판이 15Å을 초과하는 두께로 증착 표면에 재료층을 동시적으로 수용하며, 각 웨이퍼의 표면에 적용되는 재료층의 두께는 WIW 4%(3 시그마) 미만, WTW 층 두께로 3% 미만의 편차를 가진다. 이와 같은 반응기에 의하면, 배치 챔버 내에서 전구체를 균일하게 분배하는 것과 관련된 문제를 극복할 수 있으며, 이 반응기는 웨이퍼 캐리어 위치에 맞추어진 오리피스를 포함하는 튜브 축을 중심으로 회전 가능한 기다란 주입기 튜브 및 배출 슬릿을 이용함으로써, 배치의 다중 웨이퍼 표면을 가로지르는 층류 횡단 유동(laminar across flow) 패턴의 유동을 생성시킬 수 있다. 이러한 반응기는 2004년 9월 22일에 출원된 국제출원의 공보 WO 2005/031233에 개시되어 있다. 이러한 반응기는 현재 Aviza Technology(캘리포니아 스캇 밸리 소재)사로부터 상업적으로 입수가능하다.
도 1에 나타낸 바와 같이, 열적 처리 장치(100)에는 향상된 주입기(116)가 사용된다. 주입기(116)는 분배식 도는 횡단-유동 주입기(116-1)로서, 여기에서, 프로세스 가스 또는 증기가 주입구의 개구부 또는 오리피스(180)를 통하여 보트(106)에 유지된 웨이퍼(108)의 일면 상으로 도입되어, 웨이퍼(108)의 표면을 가로질러 층류로 배출 포트 또는 슬롯(182)까지 흐르게 된다. 배출 슬롯(182)은 주입기 시스템(116)에 대하여 180도로 배치되어 있다. 대안적으로, 상기 배출 슬롯(182)은 주입기(116)로부터 기타 소정의 각도로 배치될 수도 있다. 이러한 횡단-유동 주입기 시스템(116)은 종래의 가스 유동 구조에 비하여 프로세스 가스 또는 증기의 분배를 향상시킴으로써, 웨이퍼(108) 배치 내에서 웨이퍼 균일성을 향상시킨다.
또한, 웨이퍼(108) 간의 희석제 가스를 주입하는 등의 기타 목적으로도 횡단-유동 주입기(116)를 사용할 수 있다. 횡단-유동 주입기(116)를 사용함으로써, 웨이퍼 기판이 웨이퍼 스택(stack)의 하단, 상단 또는 가운데 중 어디에 위치할지라도 종래의 가스 유동 구조에 비하여 웨이퍼(108) 사이에서 보다 균일한 냉각이 가능해진다. 웨이퍼를 가로질러서 큰 온도 경사를 발생시키지 않는 방식으로 웨이퍼(108) 사이에서의 강제 대류 냉각이 향상되는 스프레이 패턴이 제공되도록, 주입구(116) 오리피스(180)의 크기, 형태, 및 위치가 결정되는 것이 바람직하다.
도 1은 반도체 웨이퍼 배치를 열적으로 가공하기 위한 열적 처리 장치의 일 실시예를 나타내는 단면도이다. 도시된 바와 같이, 열적 처리 장치(100)는 통상적 으로 웨이퍼(108) 배치가 유지된 캐리어 또는 보트(106)를 수용하도록 되어 있는 지지체(104)를 가진 프로세스 챔버(102)를 형성하는 부피의 용기(101)와 열적 처리를 위해 원하는 온도까지 웨이퍼의 온도를 올리기 위한 소정 개수의 가열 부재(112-1, 112-2, 및 112-3)(이하, 집합적으로 가열 부재(112)라 지칭함)를 가지는 열 소스 또는 로(110)를 가진다. 열적 처리 장치(101)는 추가적으로, 프로세스 챔버(102) 내부의 온도를 모니터하고 가열 부재(112)의 조작을 제어하기 위하여 측온 저항체(RTD) 또는 열전대(T/C)와 같은 하나 이상의 광학적 또는 전기적 온도 감지 부재를 포함한다. 도 1에 도시한 실시예에 있어서는, 온도 감지 부재는 프로파일 T/C로서, 프로세스 챔버(102) 내부의 복수 위치의 온도를 검출하기 위하여 다중 독립 온도 감지 노드 또는 포인트(도시하지 않음)를 가진다. 열적 처리 장치(100)에는 또한, 웨이퍼(108)를 처리 또는 냉각하기 위하여 프로세스 챔버(102) 내부로 가스 또는 증기와 같은 유체를 도입하기 위한 주입기(116-1)를 가진 하나 이상의 주입기(116) 및 프로세스 챔버를 퍼지하고 웨이퍼를 냉각하기 위한 가스를 도입하는 하나 이상의 퍼지 포트 또는 밴트(118)(이 중에서 1개만 도시함)가 포함될 수도 있다. 라이너(120)는 웨이퍼가 처리되는 프로세스 영역(128) 내의 웨이퍼(108) 주변의 프로세스 가스 또는 증기의 농도를 증가시키며, 프로세스 챔버(102)의 내부 표면 상에 형성될 수도 있는 증착물이 벗겨지거나 떨어져 나가서 웨이퍼가 오염되는 것을 감소시킨다. 프로세스 가스 또는 증기는 챔버 라이너(120) 내부의 배출 포트 또는 슬롯(121)을 통하여 프로세스 영역에서 배출된다.
통상적으로, 용기(101)는 플랫폼 또는 베이스 플레이트(124)에 대하여 O- 링(122)과 같은 밀봉재에 의하여 밀봉되어 프로세스 챔버(102)를 형성하며, 이에 의하여 열 처리 동안에 웨이퍼(108)를 완전하게 둘러싼다. 프로세스 챔버의 신속한 배기, 신속한 가열 및 신속한 재충전이 제공될 수 있도록 프로세스 챔버(102) 및 베이스 플레이트(124)의 크기가 선택된다. 프로세스 챔버(102) 크기가 캐리어(106) 및 웨이퍼(108)를 내부에 유지하는 라이너(120)를 수용하기 위하여 필요한 부피를 실질적으로 초과하지 않도록 용기(101) 및 베이스 플레이트(124)의 크기를 결정하는 것이 유리하다. 프로세스 챔버(102) 크기가 캐리어(106) 및 웨이퍼(108)를 내부에 유지하는 라이너(120)를 수용하기 위하여 필요한 크기의 약 125% 내지 약 150%가 되도록 용기(101) 및 베이스 플레이트(124)의 크기를 결정하는 것이 바람직하며, 프로세스 챔버의 크기를 라이너(120), 캐리어(106) 및 웨이퍼(108)를 수용하기 위해 필요한 크기의 약 125% 미만으로 하여 챔버 부피를 최소화함으로써, 필요한 배기 및 재충전 시간을 감소시키는 것이 보다 바람직하다.
주입기(116), T/C(114) 및 벤트(118)용 개구부는 o-링, VCR® 또는 CF® 피팅(fitting)을 사용하여 밀봉된다. 공정 중 방출 또는 도입된 가스 또는 증기는,도 1에 도시한 바와 같이, 프로세스 챔버(102)의 벽(도시하지 않음) 또는 베이스 플레이트(124)의 공간(127)에 형성된 전방라인 또는 배기 포터(126)를 통하여 배출된다. 프로세스 챔버(102)는 열 처리 동안에 대기압으로 유지되거나 또는 하나 이상의 러핑 펌프(roughing pump); 송풍기; 고진공 펌프; 러핑 밸브, 스로틀 밸브 및 전방라인 밸브를 포함하는 펌핑 시스템(도시하지 않음)을 통하여 5milliTorr 이하 의 진공으로 배기될 수 있다. 대안적으로, 프로세스 챔버는 5milliTorr 미만의 진공으로 배기될 수도 있다.
도 2에 나타낸 다른 실시예에는, 소정 개수의 수직 주입기 튜브 또는 주입기(116-1)에 부착된 링(131)을 포함하는 주입기(116)를 수용 및 지지할 수 있도록 하는 실질적으로 환상인(annular) 유동 채널(129)이 베이스 플레이트(124)에 추가로 포함되어 있다. 아래에 기술하는 바와 같은 상승-유동, 하강-유동 또는 횡단-유동 패턴을 제공할 수 있도록 주입기(116-1)의 크기와 형태를 결정할 수 있다. 가스를 보트(106) 및 용기(101) 사이의 프로세스 챔버(102)에 주입할 수 있도록 링(131)과 주입기(116-1)가 위치한다.
용기(101) 및 라이너(254)는, 고온 및 고진공 조작의 열적, 기계적 응력을 견딜 수 있고, 또한 공정 중에 사용되거나 방출되는 가스 및 증기에 의한 침식에 견디는, 금속, 세라믹, 결정질 또는 유리 재질로 제조될 수 있다. 용기(101) 및 라이너(120)는 열 처리 조작의 기계적 응력을 견디고 프로세스 부산물의 증착을 견디기에 충분한 두께를 가진, 불투명, 반투명 또는 투명한 석영 유리로 제조되는 것이 바람직하다. 프로세스 부산물의 증착을 견딤으로써, 용기(101) 및 라이너(254)는 프로세스 환경이 오염될 가능성을 감소시킨다. 웨이퍼(108)가 처리되는 프로세스 영역으로부터 열의 전도를 감소 또는 제거하기 위하여, 석영으로부터 용기(101) 및 라이너(254)를 제조하는 것이 더욱 바람직하다.
열적 처리 장치(100)에는, 공정 중에 웨이퍼(108)를 지지하는 지지체(104) 및 보트(106)를 회전시키는 자기 커플(magnetically coupled) 웨이퍼 회전 시스 템(162)이 추가로 포함된다. 대안적으로, 열적 처리 장치(100)에는, 공정 중에 웨이퍼(108)를 지지하는 지지체(104) 및 보트(106)를 회전시키기 위하여 회전 가능한 강유체 밀봉재(ferrofluidics seal)(도시하지 않음)가 사용될 수도 있다. 공정 중에 웨이퍼(108)를 회전시키면, 온도 불균일성을 평준화시키고 프로세스 가스 유동이 웨이퍼 온도와 종 반응 프로파일을 균일화시킴으로써 웨이퍼 내(WIW) 균일성이 향상된다. 일반적으로, 웨이퍼 회전 시스템(162)은 분당 약 0.1 내지 약 10 회전의 속도(RPM)로 웨이퍼(108)를 회전시킬 수 있다.
웨이퍼 회전 시스템(162)에는, 전기 또는 공기(pneumatic) 모터와 같은 회전 모터(166)와 어닐링된 폴리테트라플루오로에틸렌 또는 스테인레스 스틸과 같은 화학적으로 내성을 가진 용기에 수납된 자석(168)을 가지는 구동 어셈블리 또는 회전 메커니즘(164)이 포함된다. 받침대(130)의 절연 블록(140) 바로 아래에 위치하는 금속 링(170)과 구동 샤프트(172)는 절연 블록과 함께, 받침대의 상단의 절연 블록 위에 위치하는 다른 자석(174)으로 회전 에너지를 전달한다. 금속 링(170), 구동 샤프트(172) 및 제2 자석(174) 또한 화학적으로 내성을 가진 화합물로 형성된 용기에 수납된다. 받침대(130) 내부에 위치하는 자석(174)은, 도가니(142)를 개재시켜서, 프로세스 챔버(102) 내에서 지지체(104)에 내장 또는 결합된 금속 링 또는 자석(176)과 자기적으로 결합되어 있다.
받침대(130)를 통하여 회전 매커니즘(164)을 자기적으로 결합시킴으로써, 회전 메커니즘(164)을 프로세스 환경 내부에 위치시킬 필요성이나 기계적으로 관통시켜서 공급할 필요성을 제거함으로써, 소스의 누수나 오염 가능성이 제거된다. 게 다가, 회전 매커니즘(164)을 프로세스 챔버(102)로부터 소정의 간격을 두고 위치시킴으로써, 노출되는 최고 온도를 감소시켜서 웨이퍼 회전 시스템(162)의 신뢰성 및 운전 수명을 증가시킨다.
상술한 것 이외에도 웨이퍼 회전 시스템(162)에는, 보트(106)의 적절한 위치를 보장하고, 프로세스 챔버(102) 내부의 금속 링 또는 자석(176)과 받침대(130) 내부의 자석(174) 사이의 자기적 결합을 보장하는 하나 이상의 센서(도시하지 않음)가 추가로 포함될 수 있다. 보트(106)의 상대적인 위치를 결정하는 보트 위치 확인 센서는 특히 유용하다. 일 실시예에 있어서, 보트 위치 확인 센서에는 보트(106) 상의 센서 돌출부(protrusion)(도시하지 않음)와 베이스 플레이트(124) 아래에 위치하는 광학 또는 레이저 센서가 포함된다. 조작 중에는, 웨이퍼(108)가 처리된 후에 받침대(130)가 베이스 플레이트(124)보다 약 3인치 낮추어진다. 그리고, 보트 센서 돌출부가 보일 때까지 보트(106)를 회전시키도록 웨이퍼 회전 시스템(162)에 명령이 전달된다. 이어서, 웨이퍼 회전 시스템(162)은 보트가 정렬되도록 조작됨으로써 웨이퍼(108)가 언로딩될 수 있도록 한다. 이러한 동작이 행해진 후, 보트는 로딩/언로딩 높이까지 낮추어진다.
도 2는, 도 1의 열적 처리 장치(100)의 일부분에 대한 측면 단면도로서, 라이너(120)에 대한 주입기 오리피스(180)의 예시적인 위치와 웨이퍼(108)에 대한 배출 슬롯(182)의 예시적인 위치를 나타내며, 도 1과 관련하여 기재된 것과 유사한 기호를 부여하였다.
도 3은 본 발명에서 조작 가능한 횡단-유동 라이너(232)를 포함하는 열적 처 리 장치(230)를 나타낸다. 본 발명의 기재를 단순화시키기 위하여 본 발명과 밀접하게 관련되지 않은 부재는 도면에 도시하지 않았다. 일반적으로, 장치(230)에는, 캐리어(106)를 수용하고 내부에 웨이퍼 배치(108)가 유지되도록 하는 지지체(104)를 가지는 프로세스 챔버(102)를 형성하는 용기(101)가 포함된다. 장치(230)에는, 열 처리를 위하여 웨이퍼(108)를 소정 온도로 가열하는 열 소스 또는 로(112-2)가 포함된다. 웨이퍼(108) 근처의 프로세스 가스 또는 증기의 농도를 증가시키고, 용기(101)의 내부 표면 상에 형성되어 있을 수도 있는 증착물이 벗겨지거나 떨어져 나가서 웨이퍼가 오염되는 것을 감소시키기 위하여 횡단 유동 라이너(232)가 제공된다. 라이너(232)에는 웨이퍼 캐리어(106)의 윤곽과 일치하는 패턴이 형성되어 있으며, 웨이퍼 캐리어(106)와 라이너(232) 사이의 갭을 줄일 수 있도록 라이너(232)의 크기가 결정된다. 라이너(232)는 베이스 플레이트(124) 상에 장착되어 밀봉된다.
스텝 라이너(stepped liner)는 통상적으로 종래의 상승-유동 수직로에 사용되어서 프로세스 가스 속도 및 확산 제어를 증가시킨다. 또한, 스텝 라이너는 웨이퍼 내 균일성을 향상시키기 위한 보조 수단으로 사용된다. 불행하게도, 스텝 라이너는 스택 하강 소모(down-the-stack-depletion) 문제를 해결하지 못하며, 이는 반응제 가스를 주입하는 포인트가 하나이기 때문에, 모든 주입 가스를 스택의 모든 표면을 빠르게 하강하면서 유동하도록 강제하기 때문이다. 종래의 수직 횡단-유동 로에 있어서의 스택 하강 소모 문제가 해결되었다. 하지만, 저항이 가장 작은 유동 경로는 웨이퍼 간이 아니라 웨이퍼 캐리어와 내부 라이너 벽 사의의 갭 영역에 서 생성될 수 있다. 이러한 최소 저항 경로로 인하여 제조 공정에 유리하지 않은 와류(vortices) 또는 정체(stagnation)가 야기될 수 있다. 로에서의 와류 및 정체로 인하여 일부의 화학 공정에 있어서 웨이퍼 불균일 문제가 발생할 수 있다.
본 발명은 캐리어에 지지된 각 기판의 표면을 가로지르는 가스의 유동을 균일하게 함으로써 웨이퍼 내 균일성을 상당히 향상시킬 수 있는 횡단-유동 라이너를 제공한다. 통상적으로, 본 발명의 횡단-유동 라이너에는, 횡단-유동 주입 시스템을 수용하기 위한 길이 방향 벌지(bulging) 영역이 포함됨으로써, 웨이퍼 캐리어를 따라서 라이너의 패턴이 형성되고 크기가 결정될 수 있다. 따라서, 라이너와 웨이퍼 캐리어 사이의 갭이 상당히 감소되며, 결과적으로 종래의 로에서 야기되었던 와류 및 정체를 감소 또는 방지할 수 있다.
도 4-8을 통하여 알 수 있듯이, 횡단-유동 주입 시스템(116)은 라이너(232)의 기다란 벌지 영역(262)에 위치한다. 가스는, 웨이퍼(108) 및 캐리어(108)의 일면으로부터 복수의 주입 포트 오리피스(252)를 통하여 도입되며, 아래에 나타내는 바와 같이 웨이퍼의 표면을 가로질러서 층류로 유동한다. 기다란 벌지 영역(262)으로부터 약 180도의 위치에서, 라이너(232)의 내부에 복수의 슬롯(254)이 형성된다. 슬롯(254)의 크기와 패턴은 미리 결정되며, 주입 오리피스(180 또는 252)의 간극과 개수에 따라서 정해지는 것이 바람직하다.
횡단-유동 라이너는, 고온 및 고진공 조작의 열적, 기계적 응력을 견딜 수 있고, 또한 공정 중에 사용되거나 방출되는 가스 및 증기에 의한 침식에 견디는, 금속, 세라믹, 결정질 또는 유리 재질로 제조될 수 있다. 횡단-유동 라이너(232) 는 불투명, 반투명 또는 투명한 석영 유리로 제조되는 것이 바람직하다. 일 실시예에 있어서, 웨이퍼가 처리되는 영역 또는 프로세스 영역에서 열의 전도를 감소 또는 제거할 수 있도록 상기 라이너는 석영으로 제조된다.
통상적으로, 횡단-유동 라이너(232)에는 폐쇄 단부(258)와 개구 단부(260)를 가지는 실린더(256)가 포함된다. 실린더(256)에는 횡단-유동 주입 시스템(도시하지 않음)을 수용하기 위한 내벽(270)을 가지는 길이 방향 벌지 영역(262)이 제공된다. 벌지 영역(262)은 실질적으로 실린더(256) 길이만큼 연장되는 것이 바람직하다. 복수의 가로 방향 슬롯(254)은 슬롯의 길이 방향으로 굽어있으며 실린더(256)를 따라서 길이 방향으로 위치한다.
횡단-유동 라이너(232)는 웨이퍼 캐리어(106) 및 캐리어 지지체(104)의 윤곽과 일치하도록 크기와 패턴이 결정된다. 일 실시예에 있어서, 라이너(232)는 웨이퍼 캐리어(106)와 일치하도록 크기가 결정된 제1 영역(261)과 캐리어 지지체(104)와 일치하도록 크기가 결정된 제2 영역(263)이 포함된다. 제1 영역(261)의 직경은 제2 영역(263)의 직경과 상이할 수도 있는데, 즉 웨이퍼 캐리어(106) 및 캐리어 지지체(104)와 일치되도록 상기 라이너(232)에 각각 층이 형성될(stepped) 수도 있다. 일 실시예에 있어서, 라이너(232)의 제1 영역(261)의 내경은 웨이퍼 캐리어(106) 외경의 약 104% 내지 110%이다. 또 다른 실시예에 있어서, 라이너(232)의 제2 영역(263)의 내경은 웨이퍼 지지체(104) 외경의 약 115% 내지 120%이다. 제2 영역(263)에는, o-링과 같은 밀봉재가 가열 부재에 의하여 과열되는 것을 방지하기 위하여 하나 이상의 열 실드(264)가 제공될 수도 있다.
도 6은 횡단-유동 라이너(232)의 측면도이다. 길이 방향 벌지 영역(262)은 제1 영역(261)의 길이로 연장되어 있다. 주입 시스템(250)(도시하지 않음)은 벌지 영역(262)에 수용되며, 웨이퍼(242) 사이에서 하나 이상의 가스를 횡단-유동 라이너(232)로 도입한다. 제2 영역(263)에는 하나 이상의 열 실드(264)가 제공될 수도 있다.
도 7은 횡단-유동 라이너(232)의 평면도로서, 횡단-유동 주입 시스템(250)을 수용하기 위한 개구부(266)를 가지는 실린더(256)의 폐쇄 단부(258)를 나타낸다. 주입 시스템(250)은 개구부(266) 내부에서 결착되기 위하여 적어도 하나의 주입 튜브(251)(아래에 상술함)를 가진다. 도 8에 상세하게 나타낸 바와 같이, 폐쇄 단부(258)의 개구부(266)에는 횡단-유동 주입 시스템의 방향 설정(orientation) 및 안정화를 위한 노치(notch; 268)가 포함되어 있다. 예시를 위하여 개구부(266) 내에 3개의 노치(268A, 268B, 268C)를 나타내었지만, 주입 튜브가 횡단-유동 라이너(232) 및 각 노치에 대하여 특정 방향으로 방향 설정될 수 있도록 소정 개수의 노치가 형성될 수 있음을 주지해야 한다.
도 9에 나타낸 바와 같이, 횡단-유동 주입 시스템(250)에는, 웨이퍼(242)의 처리하고자 하는 표면에 대하여 수직인 축을 중심으로 회전 가능한 하나 이상의 기다란 튜브(251)가 포함된다. 바람직한 일 실시예의 기다란 튜브(251)에는, 반응제 및 기타 가스가 각 기판의 표면을 가로지르도록 유도하는 튜브의 길이를 따라서 길이 방향으로 분포된 복수의 주입 포트 또는 오리피스(252)가 포함된다. 주입 포트 오리피스(180)는 면적이 동일하거나, 또는 대안적으로, 도 10에 나타낸 바와 같이 주입 튜브(251)을 길이 방향을 따라서 주입 포트 오리피스(252)의 면적이 상이할 수도 있다. 게다가, 2개 이상의 기다란 튜브 주입기(116-1 및 116-2)의 내경은 동일하거나(도 9), 또는 대안적으로, 2개 이상의 기다란 튜브 주입기(251-1 및 251-2)의 내경이 상이할 수도 있다(도 10). 주입 오리피스(180 또는 252)는 주입 튜브(116 또는 251)의 길이 방향을 따라서 동일 간격으로 배치되는 것이 바람직하며, 슬롯(182 또는 254) 및 보트(106)에 유지된 웨이퍼 기판 표면(108)과 맞추어져 있다.
일 실시예의 기다란 튜브(116 또는 251)에는, 개구부(266)의 노치(268) 중 어느 하나에 기다란 튜브를 고정하기 위한 인덱스 핀(253) 포함되어 있으며, 상기 주입 포트 또는 오리피스(252)는 인덱스 핀에 의하여 직선상으로 형성된다. 따라서, 기다란 튜브가 장착될 경우, 상기 인덱스 핀(253)은 노치(268) 중 어느 하나에 고정될 수 있으며, 주입 오리피스(180 또는 252)는 적절한 노치(268)에 의하여 지시된 방향으로 방향 설정된다. 튜브(251)의 반대쪽 단부에 위치하는 인디케이터(도시하지 않음)에 의하여, 사용자가 주입 포트(252)의 위치를 추가적으로 조절할 수 있다. 이와 같은 조절하는 것을, 용기(234)로부터 횡단-유동 라이너(232)를 제거하지 않은 상태로 열 처리 전, 중, 후에 행할 수 있다.
횡단-유동 라이너(232)의 벌지 영역(262)에는 횡단-유동 주입 시스템(116 또는 250)이 수용되고, 라이너(232)는 웨이퍼 캐리어(250)의 윤곽에 맞추어서 제조되는 것이 유리하다. 이와 같이 라이너(232)를 웨이퍼 캐리어(106)에 맞춤으로써, 라이너와 웨이퍼 캐리어 사이의 갭을 줄이고, 이로써 라이너 내벽과 웨이퍼 캐리 어(106) 사이의 갭 영역에서의 와류 및 정체가 감소되며, 가스 유동 균일성 및 증착 막의 품질, 균일성, 및 반복성이 향상된다.
베이스 플레이트(124)는 튜브 주입기를 수용하기 위한 개구부(266)를 가진다. 베이스 플레이트(124)에는 주입 포트(116-1, 116-2, 251-2 또는 252-2)를 특정 방향으로 설정하기 위하여 노치(268)가 형성된다. 특정 개수의 노치(268)가 형성됨으로써, 기다란 주입 튜브가 고정 위치에 대하여 360도 조절되며, 주입 포트(252)를 원하는 방향으로 설정할 수 있다. 예를 들면, 주입 포트(252')가 웨이퍼 기판 및 배출 슬롯을 향하여 방향 설정되도록, 기다란 튜브 주입기(251-2) 내의 인덱스 핀(252-2)이 노치(268A) 내에 수용될 수도 있다. 도 11에 나타낸 바와 같이, 주입 포트(180 또는 252 또는 252')로부터 배출된 가스는, 각 기판(108)의 표면을 가로질러서 배출 슬롯(244)으로 유동하기 전에 벌지 영역(262)의 내벽(270)에 영향을 미친다. 대안적으로, 기다란 튜브 주입기(116-1/116-2 또는 252-1/252-2) 내의 인덱스 핀(253)이 노치에 수용되어서, 각 튜브 주입기 내의 주입 오리피스(180 또는 252)가 서로 대향하도록 방향 설정된다. 도 12-13에 나타낸 바와 같이, 주입 오리피스(180 또는 252)로부터 배출되는 가스는 회전하게 됨으로써, 웨이퍼(108)에 대하여 소정 정도 회전시켜서 인덱스 핀을 노치에 위치시킨다.
도 14-19는 "입자 흔적"을 나타내는 도면으로서, 챔버 내부의 기판 표면을 가로지르는 가스 유동 라인을 나타낸다. 도면은 다양한 유동 조건하에서 주입기 오리피스로부터 배출 슬롯까지의 입자 흔적(272)을 보여준다. 제1(가장 좌측) 주입기 오리피스로부터의 유동 운동량은 제2(가장 우측) 주입기 포터의 10배를 초과 한다. 도 14, 16 및 18의 횡단-유동 라이너 및 주입기의 회전으로 인하여, 종래의 가스 전달 시스템에 비하여 기판 표면을 가로지르는 가스 유동이 균일해지도록 하는 장점이 제공된다. 횡단-유동 라이너(234) 내의 벌지 영역(262)은, 기판의 표면을 가로질러서 유동하기 전의 주입 포트로부터 배출된 가스용 혼합 챔버를 제공하며, 따라서 가스의 "탄도적 혼합(ballistic mixing)"의 운동량 전달을 용이하게 한다. 이와 대조적으로, 도 15, 17 및 19에 나타낸 바와 같이 벌지 영역을 가지거나 또는 가지지 않은 챔버에 있어서는, 주입기의 주어진 회전 방향에 대하여 기판의 표면을 가로지르는 가스 유동이 보다 덜 규칙적이다.
동작시에는 진공 시스템에 의해 반응 챔버(102) 내의 압력이 진공이 된다. 진공 압력은 용기(101)의 수직 방향으로 가해진다. 횡단-유동 라이너(232)는 진공 압력에 대응하여 조작됨으로써, 횡단-유동 라이너(232) 내부가 제2 진공이 되도록 한다. 제2 진공 압력은 수평 방향 및 각 기판(108)이 표면을 가로질러서 가해진다. 2개의 가스, 예를 들면 제1 가스 및 제2 가스는, 2개의 상이한 가스 소스로부터 주입 시스템(116 또는 250)의 2개의 기다란 튜브(251)로 도입된다. 이러한 가스는 배출 포트(252)를 통하여 웨이퍼(108)의 일면 상으로 배출되어 층류로 웨이퍼(242)를 가로질러서 슬롯(254) 및 2개의 인접한 웨이퍼(108) 사이를 통과한다. 잉여 가스 또는 반응 부산물은, 기다란 튜브 주입기 내의 주입 오리피스(180 또는 252)와 같이 동작되는 라이너 벽(232) 내의 가로 방향 슬롯(254)을 통하여 배출된다.
도 20-22는 본 발명의 일 실시예에 따른 횡단-유동 라이너를 포함하는 열적 처리 장치에 대한 수치 유체 역학적 시뮬레이션 결과이다. 횡단-유동 라이너는 직경이 축소되어 있으며, 웨이퍼 캐리어에 맞추어져 있다. 라이너의 벌지 영역에 횡단-유동 주입 시스템이 수용되어 있다. 주입 시스템에는 2개의 기다란 주입 튜브가 포함되며, 이들은 각각 반응제나 기타 가스가 각 기판의 표면을 가로질러서 도입되도록 하는 복수의 주입 오리피스를 가진다. 주입 오리피스는 라이너 내부 표면을 향하도록 방향 설정됨으로써(도 20), 주입기 포트로부터 배출된 가스가 각 기판의 표면을 가로질러서 유동하기 전에 라이너 벽에 영향을 받아서 벌지 영역에서 혼합되며; 웨이퍼의 중심을 향하도록 방향 설정되며(도 21); 서로 대향하도록 방향 설정됨으로써, 주입기 포트로부터 배출된 가스가 각 기판의 표면을 가로질러서 유동하기 전에 서로 영향을 받아서 혼합된다(도 22). 2개의 튜브 주입기로 도입되는 가스는 각각 75sccm 하에서의 트리실릴아민 및 NH3이다.
도 23은, 도 1에 나타낸 반응기의 주입기 및 라이너를 구비하지 않은 종래의 상승-유동 로 구조의 주입기로 오존을 도입함에 따른, 산소 원자 라디칼의 집단에 대한 CFD 시뮬레이션 결과이다. 1번 웨이퍼는 스택 저부에 위치하며, 산소 라디칼은 저부로부터 상부로 유동한다. 이러한 시뮬레이션 결과는, 웨이퍼를 가로질러서 그리고 웨이퍼의 스택을 가로질러서 산소 원자 집단의 균일성이 불충분함을 나타내는 것으로서, 원하는 막을 형성하는데 있어서의 균일성이 불충분해진다.
도 24는, 도 1의 횡단-유동 로 구조의 주입기로 오존을 도입함에 따른, 산소 원자 라디칼 집단에 대한 CFD 시뮬레이션 결과이다. 1번 웨이퍼는 스택 저부에 위 치하며, 산소 라디칼은 횡단 유동한다. 이러한 시뮬레이션 결과는, 산소 원자 집단의 WIW 및 WTW 균일성이 우수함을 나타내며, 원하는 막을 형성할 수 있다.
2개의 주입기 반응기에 있어서의 예시적인 가스 유동을 도 25에 개략적으로 나타내었다. 전구체(50)가 도 1에 나타낸 용기(101) 내부의 주입기(116-1)에 유체로 연통되어 제공된다. 불활성 가스 소스(52)는 주입기(116-1)와 선택적으로 상호연결된다. 종래의 밸브 질량 유동 제어기(MFC)를 이용하여, 양 소스(50 및 52) 또는 어느 하나의 소스가 주입기(116-1)에 의해 용기(101)로 선택적으로 공급된다. 웨이퍼 표면(104) 및 배출 슬롯(254)을 맞춤으로써, 주어진 웨이퍼 표면 및 수직으로 배치된 웨이퍼 상에 고도의 균일성으로 반응제의 횡단 유동이 달성된다. 유사한 방식으로, 공반응제 소스(54) 단독, 불활성 가스 소스(52'), 또는 이들의 조합이 주입기(116-2)로 선택적으로 계량된다. 공반응제는 웨이퍼 기판과 접촉하기 전에, 플라즈마 발생기(55)의 방전에 선택적으로 노출된다. 종래의 가스 연결 구조에 의해, 불활성 가스 소스(52')는 불활성 가스 소소(52)에 의하여 공급되는 것으로 이해된다. 또한, 주입기를 통한 유동 불활성 가스는 그 주입기를 통해 반응제가 제공되지 않을 때, 사용되지 않는 주입기로의 역류(backflow)를 억제하는 경향이 있음을 알 수 있다.
[실시예]
배치의 WIW 및 WTW가 균일하도록 웨이퍼 기판 배치의 각각이 웨이퍼 기판 상에 재료층을 증착하는 방법을 하기 실시예를 통하여 더욱 상세하게 제공한다. 이러한 예시적이고, 비한정적인 실시예는 본 발명의 증착이 수행될 수 있는 조건을 예시적으로 나타내고자 한 것이다.
실시예 1
사용되지 않은 100개소에 기판 블랭크 충전하여(substrate blanks filling) 20개의 웨이퍼로 이루어진 배치를 120 웨이퍼 캐리어를 따라서 분산시켰다. 웨이퍼 기판 온도와 불활성 이질소 분위기를 안정화시킨 후, 아르곤 가스의 유동을 조절하여 반응기 전체 압력을 3Torr로 유지하면서, 트리실릴아민 및 암모니아 가스를 15 및 225sccm의 유동 속도로 반응기로 도입하였다. 515℃의 반응 온도 하에서 30분 동안 증착을 행하였다. 증착 속도는 분당 1.8Å으로 확인되었다. 생성된 질화실리콘 막의 WIW 균일성은 2.3두께%(3 시그마)이며 WTW 두께 편차는 2.6%이다. Auger 분광기를 통하여 생성된 증착 재료층에는 탄소 및 염소가 없으며, 실리콘 상대 이온(counterion)에 대하여 치환 수소가 8원자% 미만임을 확인하였다.
실시예 2-6
웨이퍼 기판 온도를 변화시키면서 실시예 1의 방법을 반복하였다.
온도를 함수로 하여 증착 속도를 변화시킨 것을 종래의 전구체에 대한 비교 온도 및 증착 속도와 함께 표 2에 나타내었으며, 균일성은 실시예 1과 유사한 것으로 나타났다. Auger 분광기를 통하여 생성된 증착 재료층에는 탄소 및 염소가 없으며, 실리콘 상대 이온에 대하여 치환 수소가 10원자% 미만임을 확인하였다.
[표 2] 온도를 함수로 할 경우의 배치 SiN층 증착
실시예 전구체 기판 온도 (℃) 층착 속도 (Å/분)
1 트리실릴아민/NH3 515 1.8
2 트리실릴아민/NH3 525 4.0
3 트리실릴아민/NH3 540 9.3
4 트리실릴아민/NH3 550 10.3
5 트리실릴아민/NH3 575 13
6 트리실릴아민/NH3 600 18
비교 A 디클로로실란/NH3 750 17.3
비교 B 비스t-부틸아미노실란/NH3 570 10.0
실시예 7
도 1에 따른 반응기를 사용하고, 불활성 가스로서 이질소를 사용하여 반응기의 전체 압력을 7Torr로 유지하였으며, 트리실릴아민 및 산소를 각각 11 및 200sccm의 속도로 계량하여 반응기로 도입하여, 저온 산화물 재료층을 증착하였다. 질소 유동 속도는 약 500sccm이었다. 200℃ 내지 450℃ 사이의 증착 온도를 함수로 하여 증착 속도 및 WIW 불균일성(1 시그마)을 도 6에 나타낸다. WTW 편차는 3% 미만이다. Auger 분광기를 통하여 생성된 증착 재료층에는 탄소 및 염소가 없으며, 실리콘 상대 이온에 대하여 치환 수소가 10원자% 미만임을 확인하였다.
실시예 8
불활성 가스로서 이질소를 사용하여 전체 압력을 2Torr로 하고, 트리실릴아민 및 N2O를 각각 15 및 300sccm의 속도로 유동시키면서, 웨이퍼 기판 배치에 산질화실리콘 증착층을 형성하였다. 525℃의 웨이퍼 기판 온도 하에서 30분 동안 트리실릴아민 및 N2O를 동시에 유동시켜서, 분당 100Å을 초과하는 증착 속도로 조성 SiOmNn(여기에서, m은 재현가능한 0.77이며 n은 0.33임)의 산질화실리콘 증착이 수행되는 것을 관찰하였다. WIW 편차는 3% 미만(3 시그마)이며, WTW 두께 편차는 2.8% 미만이었다. Auger 분광기를 통하여 생성된 증착 재료층에는 탄소 및 염소가 없으며, 실리콘 상대 이온에 대하여 치환 수소가 10원자% 미만임을 확인하였다. 여러 배치에 있어서 생성된 증착 재료층의 굴절률은 1.7 내지 1.9였다.
본 명세서에 언급된 특허 문헌 및 출판물은 본 발명이 관련된 분야의 당업자의 수준을 나타내는 것이다. 이들 문헌 및 출판물은 각각의 문헌 또는 출판물이 원용되어 본 명세서에 특정적으로, 개별적으로 포함되는 것과 동일한 범위로 원용되어 본 명세서에 포함된다.
이상과 같은 설명은 본 발명의 특정한 실시예들을 예시하는 것이며, 본 발명의 실시에 대한 제한을 의미하지 않는다. 이하의 청구 범위는 모든 그의 등가물을 포함하여 본 발명의 범위를 한정하고자 하는 것이다.

Claims (25)

  1. 각 웨이퍼 기판이 표면을 가지는 웨이퍼 기판 배치(batch)에 있어서,
    상기 웨이퍼 기판 배치의 각각의 웨이퍼 기판의 표면 상에 동시에 적용된 재료층으로서, 각 웨이퍼 기판 내에서 에지 영역(edge boundary)을 제외한 두께가 4두께%(3 시그마) 미만의 범위의 편차를 가지며, 3% 미만의 웨이퍼 간(wafer-to-wafer) 두께 편차를 가지며, 상기 재료는 SiOx(여기에서, x는 1.9 내지 2.0임), SiyN(여기에서, y는 0.75 내지 1임), 및 SiOmNn(여기에서, n/(n+m)은 0.2 내지 0.4임)으로 이루어진 군에서 선택되며, 실질적으로 탄소와 염소를 포함하지 않는 재료층
    을 포함하는 웨이퍼 기판 배치.
  2. 제1항에 있어서,
    상기 각 웨이퍼 기판은 300mm의 직경을 가지는 것을 특징으로 하는 웨이퍼 기판 배치.
  3. 제1항에 있어서,
    상기 재료는 SiyN이며, y가 0.75보다 크고 1보다 작을 때 수소가 1-y의 양으로 존재하는 것을 특징으로 하는 웨이퍼 기판 배치.
  4. 제3항에 있어서,
    각 웨이퍼 기판 내에서 두께 편차가 3두께% 미만인 것을 특징으로 하는 웨이퍼 기판 배치.
  5. 제1항에 있어서,
    상기 배치는 2 내지 200개의 기판을 가지는 것을 특징으로 하는 웨이퍼 기판 배치
  6. 제1항에 있어서,
    상기 재료는 SiOmNn으로서, m이 0.6 내지 0.8이며, n이 0.2 내지 0.4인 것을 특징으로 하는 웨이퍼 기판 배치.
  7. 웨이퍼 기판 배치 상에 재료층을 동시에 증착하는 방법으로서,
    상기 웨이퍼 기판 배치를 포함하는 반응기로 Si-N-Si 구조 함유 전구체를 공급하는 단계; 및
    각 웨이퍼 내에서 에지 영역을 제외한 표면을 가로지르는 두께가 4두께%(3 시그마) 미만의 범위의 편차를 가지며, 웨이퍼 간 두께 편차는 3% 미만이며, 실질적으로 탄소와 염소를 포함하지 않는 재료층이 상기 웨이퍼 기판 배치의 각각의 웨 이퍼 기판 표면 상에 형성되도록, 웨이퍼 기판 온도, 전체 압력, 및 전구체 유동 속도로 Si-N-Si 구조 함유 전구체를 반응시키는 단계
    를 포함하는 방법.
  8. 제7항에 있어서,
    상기 Si-N-Si 구조 함유 전구체는 트리실릴아민인 것을 특징으로 하는 방법.
  9. 제7항에 있어서,
    증착 메커니즘 및 재료층 조성으로 이루어진 군에서 선택되는 재료층 증착 인자(factor)를 변경시키는 공반응제(coreactant)를 상기 반응기로 도입하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  10. 제9항에 있어서,
    상기 공반응제는 질화(nitrification) 반응제인 것을 특징으로 하는 방법
  11. 제10항에 있어서,
    상기 질화 반응제는 NH3, HN3, H2N2, 2차 아민, 3차 아민, NH* 및 NH2 *로 이루어진 군에서 선택되며, 상기 재료층은 식 SiyN(여기에서, y는 0.75 내지 1임)을 가지는 것을 특징으로 하는 방법.
  12. 제9항에 있어서,
    상기 공반응제는 산화 반응제인 것을 특징으로 하는 방법.
  13. 제12항에 있어서,
    상기 산화 반응제는 O2, O3, O*, OH*, H2O, H2O2, NO, N2O, NO2, 및 이들의 조합으로 이루어진 군에서 선택되는 것을 특징으로 하는 방법.
  14. 제12항에 있어서,
    상기 재료층은 SiOx(여기에서, x는 1.9 내지 2.0임)인 것을 특징으로 하는 방법.
  15. 제8항에 있어서,
    상기 웨이퍼 기판 온도는 600℃ 미만이며, 상기 전체 압력은 30Torr 미만인 것을 특징으로 하는 방법.
  16. 제9항에 있어서,
    상기 웨이퍼 기판 온도는 550℃ 미만이며, 상기 압력은 10Torr 미만이며, 상기 전구체 및 상기 공반응제는 상기 반응기로 동시에 계량 공급되는 것을 특징으로 하는 방법.
  17. 제7항에 있어서,
    복수의 오리피스 중 하나 이상이 상기 웨이퍼 기판 배치의 각각의 웨이퍼 기판과 맞추어진 복수의 오리피스 및 배출 슬릿을 가지는 수직 튜브 주입기(injector)를 통하여 상기 Si-N-Si 구조 함유 전구체를 상기 반응기로 공급함으로써, 상기 웨이퍼 기판 배치의 각각의 웨이퍼 기판의 표면을 가로지르는 유동을 생성시키는 것을 특징으로 하는 방법.
  18. 제17항에 있어서,
    복수의 오리피스 중 하나 이상이 상기 웨이퍼 기판 배치의 각각의 웨이퍼 기판과 맞추어진 복수의 제2 오리피스 및 상기 배출 슬릿을 가지는 제2 수직 튜브 주입기를 통하여 공반응제를 상기 반응기로 전달하는 것을 특징으로 하는 방법.
  19. 제18항에 있어서,
    상기 전구체 및 상기 공반응제는 상기 반응기에 동시에 공급되는 것을 특징으로 하는 방법.
  20. 제18항에 있어서,
    조성 SiOmNn(여기에서, m은 0.6 내지 0.8이며, n은 0.2 내지 0.4임)을 가지는 재료층을 생성시키기 위하여, 상기 공반응제는 산소 원자 및 질소 원자를 포함하는 것을 특징으로 하는 방법.
  21. 제18항에 있어서,
    상기 공반응제는 산화 반응제이며, 상기 재료층은 조성 SiOx(여기에서, x는 1.9 내지 2.0임)인 것을 특징으로 하는 방법.
  22. 제18항에 있어서,
    상기 공반응제는 질화 반응제이며, 상기 재료층은 조성 SiyN(여기에서, y는 0.75 내지 1임)인 것을 특징으로 하는 방법.
  23. 제18항에 있어서,
    상기 공반응제를 상기 전구체 공급 속도의 3배를 초과하는 공급 속도로 상기 반응기로 공급하는 것을 특징으로 하는 방법.
  24. 제7항에 있어서,
    상기 전구체는 하기 식을 가지는 것을 특징으로 하는 방법:
    Figure 112008008612953-PCT00005
    또는
    Figure 112008008612953-PCT00006
    여기에서, R1, R2 및 R3는 각각 독립적으로 수소 또는 C1 -8 알킬이며, R2 및 R3가 모두 수소일 경우 R1은 SiH3이며, R4는 수소, C1 -8 알킬, 또는 R1, R2 및 R3에 결합된 Si임.
  25. 제9항에 있어서,
    상기 공반응제를 플라즈마 발생기의 방전에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
KR1020087002811A 2005-07-09 2006-07-10 균일한 배치식 막 증착법 및 그 방법에 의해 제조된 막 KR20080033965A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US69778405P 2005-07-09 2005-07-09
US60/697,784 2005-07-09
US11/482,887 US20070010072A1 (en) 2005-07-09 2006-07-07 Uniform batch film deposition process and films so produced
US11/482,887 2006-07-07

Publications (1)

Publication Number Publication Date
KR20080033965A true KR20080033965A (ko) 2008-04-17

Family

ID=37618804

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087002811A KR20080033965A (ko) 2005-07-09 2006-07-10 균일한 배치식 막 증착법 및 그 방법에 의해 제조된 막

Country Status (5)

Country Link
US (1) US20070010072A1 (ko)
EP (1) EP1908098A2 (ko)
JP (1) JP2009500864A (ko)
KR (1) KR20080033965A (ko)
WO (1) WO2007008705A2 (ko)

Families Citing this family (391)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4899744B2 (ja) * 2006-09-22 2012-03-21 東京エレクトロン株式会社 被処理体の酸化装置
WO2009014333A1 (en) 2007-07-20 2009-01-29 Lg Electronics Inc. Electric heater
JP2009152359A (ja) * 2007-12-20 2009-07-09 Elpida Memory Inc 縦型化学気相成長装置
DE102008034330A1 (de) * 2008-07-23 2010-01-28 Ionbond Ag Olten CVD-Reaktor zur Abscheidung von Schichten aus einem Reaktionsgasgemisch auf Werkstücken
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5658463B2 (ja) * 2009-02-27 2015-01-28 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
SG181670A1 (en) * 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
JP2013521650A (ja) * 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8765573B2 (en) * 2010-09-20 2014-07-01 Applied Materials, Inc. Air gap formation
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9493874B2 (en) * 2012-11-15 2016-11-15 Cypress Semiconductor Corporation Distribution of gas over a semiconductor wafer in batch processing
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
CN103165497B (zh) * 2013-02-20 2015-09-30 上海华力微电子有限公司 一种氧化反应炉及利用该反应炉进行氧化反应的方法
US8921235B2 (en) 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
TWI520178B (zh) * 2013-03-13 2016-02-01 華亞科技股份有限公司 晶圓及用此結構的晶圓鍍膜的方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN105849221B (zh) * 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP2015103726A (ja) * 2013-11-27 2015-06-04 東京エレクトロン株式会社 マイクロ波加熱処理装置及びマイクロ波加熱処理方法
JP2015133405A (ja) * 2014-01-14 2015-07-23 日立金属株式会社 半導体製造装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN113373428B (zh) * 2014-10-24 2023-07-14 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP2019186335A (ja) * 2018-04-06 2019-10-24 東京エレクトロン株式会社 基板処理装置と基板処理方法
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7015923B2 (ja) * 2018-08-03 2022-02-03 株式会社Kokusai Electric 基板処理装置およびデバイス製造方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7228976B2 (ja) * 2018-08-27 2023-02-27 株式会社Screenホールディングス p型窒化ガリウム系半導体の製造方法および熱処理方法
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7315607B2 (ja) * 2021-03-16 2023-07-26 株式会社Kokusai Electric 基板処理装置、基板処理方法及び半導体装置の製造方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024024544A1 (ja) * 2022-07-28 2024-02-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4200666A (en) * 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US5413813A (en) * 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US6566281B1 (en) * 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US5968611A (en) * 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
KR100574150B1 (ko) * 2002-02-28 2006-04-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조방법
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6881681B2 (en) * 2002-11-22 2005-04-19 Freescale Semiconductor, Inc. Film deposition on a semiconductor wafer
US7029995B2 (en) * 2003-06-13 2006-04-18 Asm America, Inc. Methods for depositing amorphous materials and using them as templates for epitaxial films by solid phase epitaxy
US7125582B2 (en) * 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060051975A1 (en) * 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films

Also Published As

Publication number Publication date
WO2007008705A3 (en) 2007-04-12
EP1908098A2 (en) 2008-04-09
WO2007008705A2 (en) 2007-01-18
US20070010072A1 (en) 2007-01-11
JP2009500864A (ja) 2009-01-08

Similar Documents

Publication Publication Date Title
KR20080033965A (ko) 균일한 배치식 막 증착법 및 그 방법에 의해 제조된 막
TWI710026B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
KR100481441B1 (ko) 반도체 장치의 제조방법 및 반도체 제조장치
US10096463B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus comprising exhaust port and multiple nozzles, and recording medium
CN110998806B (zh) 基板处理装置、半导体装置的制造方法及存储介质
KR102203745B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 컴퓨터 프로그램 및 반응관
US8071477B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
KR20080012802A (ko) 라디칼 보조 배치식 막 증착법
CN109671611B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
JP2009044023A (ja) 半導体装置の製造方法および基板処理装置
US10128104B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US11753716B2 (en) Method of processing substrate, substrate processing apparatus, recording medium, and method of manufacturing semiconductor device
WO2001004942A1 (en) Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone
CN111755355A (zh) 半导体装置的制造方法、基板处理装置和记录介质
US20180171467A1 (en) Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-Transitory Computer-Readable Recording Medium
CN112655078B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
JP6441494B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US10720325B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
CN111837223A (zh) 基板处理装置、半导体装置的制造方法和程序
JP5175414B2 (ja) 膜形成方法及び装置
JP2001338883A (ja) 半導体装置の製造方法
KR20220040391A (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 기판 처리 방법 및 프로그램
JP2003045863A (ja) 基板処理装置および半導体装置の製造方法
CN113355652A (zh) 成膜方法
JP2007207974A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid