SG11202008268RA - Methods for depositing coatings on aerospace components - Google Patents

Methods for depositing coatings on aerospace components

Info

Publication number
SG11202008268RA
SG11202008268RA SG11202008268RA SG11202008268RA SG11202008268RA SG 11202008268R A SG11202008268R A SG 11202008268RA SG 11202008268R A SG11202008268R A SG 11202008268RA SG 11202008268R A SG11202008268R A SG 11202008268RA SG 11202008268R A SG11202008268R A SG 11202008268RA
Authority
SG
Singapore
Prior art keywords
methods
aerospace components
depositing coatings
coatings
depositing
Prior art date
Application number
SG11202008268RA
Inventor
Yuriy Melnik
Sukti Chatterjee
Kaushal Gangakhedkar
Jonathan Frankel
Lance A Scudder
Pravin K Narwankar
David Britz
Thomas Knisley
Mark Saly
David Thompson
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of SG11202008268RA publication Critical patent/SG11202008268RA/en

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F23COMBUSTION APPARATUS; COMBUSTION PROCESSES
    • F23RGENERATING COMBUSTION PRODUCTS OF HIGH PRESSURE OR HIGH VELOCITY, e.g. GAS-TURBINE COMBUSTION CHAMBERS
    • F23R3/00Continuous combustion chambers using liquid or gaseous fuel
    • F23R3/002Wall structures
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D5/00Blades; Blade-carrying members; Heating, heat-insulating, cooling or antivibration means on the blades or the members
    • F01D5/12Blades
    • F01D5/28Selecting particular materials; Particular measures relating thereto; Measures against erosion or corrosion
    • F01D5/288Protective coatings for blades
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D25/00Component parts, details, or accessories, not provided for in, or of interest apart from, other groups
    • F01D25/007Preventing corrosion
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D25/00Component parts, details, or accessories, not provided for in, or of interest apart from, other groups
    • F01D25/08Cooling; Heating; Heat-insulation
    • F01D25/12Cooling
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D25/00Component parts, details, or accessories, not provided for in, or of interest apart from, other groups
    • F01D25/28Supporting or mounting arrangements, e.g. for turbine casing
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D5/00Blades; Blade-carrying members; Heating, heat-insulating, cooling or antivibration means on the blades or the members
    • F01D5/12Blades
    • F01D5/28Selecting particular materials; Particular measures relating thereto; Measures against erosion or corrosion
    • F01D5/286Particular treatment of blades, e.g. to increase durability or resistance against corrosion or erosion
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D9/00Stators
    • F01D9/02Nozzles; Nozzle boxes; Stator blades; Guide conduits, e.g. individual nozzles
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F23COMBUSTION APPARATUS; COMBUSTION PROCESSES
    • F23RGENERATING COMBUSTION PRODUCTS OF HIGH PRESSURE OR HIGH VELOCITY, e.g. GAS-TURBINE COMBUSTION CHAMBERS
    • F23R3/00Continuous combustion chambers using liquid or gaseous fuel
    • F23R3/28Continuous combustion chambers using liquid or gaseous fuel characterised by the fuel supply
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • C07F11/005Compounds containing elements of Groups 6 or 16 of the Periodic Table compounds without a metal-carbon linkage
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D25/00Component parts, details, or accessories, not provided for in, or of interest apart from, other groups
    • F01D25/08Cooling; Heating; Heat-insulation
    • F01D25/14Casings modified therefor
    • F01D25/145Thermally insulated casings
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2230/00Manufacture
    • F05D2230/30Manufacture with deposition of material
    • F05D2230/31Layer deposition
    • F05D2230/314Layer deposition by chemical vapour deposition
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2230/00Manufacture
    • F05D2230/90Coating; Surface treatment
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2300/00Materials; Properties thereof
    • F05D2300/10Metals, alloys or intermetallic compounds
    • F05D2300/13Refractory metals, i.e. Ti, V, Cr, Zr, Nb, Mo, Hf, Ta, W
    • F05D2300/132Chromium
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2300/00Materials; Properties thereof
    • F05D2300/20Oxide or non-oxide ceramics
    • F05D2300/21Oxide ceramics
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2300/00Materials; Properties thereof
    • F05D2300/20Oxide or non-oxide ceramics
    • F05D2300/22Non-oxide ceramics
    • F05D2300/226Carbides
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2300/00Materials; Properties thereof
    • F05D2300/20Oxide or non-oxide ceramics
    • F05D2300/22Non-oxide ceramics
    • F05D2300/228Nitrides
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F23COMBUSTION APPARATUS; COMBUSTION PROCESSES
    • F23RGENERATING COMBUSTION PRODUCTS OF HIGH PRESSURE OR HIGH VELOCITY, e.g. GAS-TURBINE COMBUSTION CHAMBERS
    • F23R2900/00Special features of, or arrangements for continuous combustion chambers; Combustion processes therefor
    • F23R2900/00018Manufacturing combustion chamber liners or subparts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Combustion & Propulsion (AREA)
  • Chemical Vapour Deposition (AREA)
SG11202008268RA 2018-03-19 2019-03-18 Methods for depositing coatings on aerospace components SG11202008268RA (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862644645P 2018-03-19 2018-03-19
US201862644608P 2018-03-19 2018-03-19
US201862767420P 2018-11-14 2018-11-14
PCT/US2019/022737 WO2019182967A1 (en) 2018-03-19 2019-03-18 Methods for depositing coatings on aerospace components

Publications (1)

Publication Number Publication Date
SG11202008268RA true SG11202008268RA (en) 2020-10-29

Family

ID=67903897

Family Applications (1)

Application Number Title Priority Date Filing Date
SG11202008268RA SG11202008268RA (en) 2018-03-19 2019-03-18 Methods for depositing coatings on aerospace components

Country Status (5)

Country Link
US (6) US10633740B2 (en)
EP (1) EP3768874A4 (en)
CN (1) CN111936664A (en)
SG (1) SG11202008268RA (en)
WO (1) WO2019182967A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
EP3470680A1 (en) * 2017-10-16 2019-04-17 OneSubsea IP UK Limited Erosion resistant blades for compressors
EP3957769A1 (en) * 2017-12-20 2022-02-23 Basf Se Process for the generation of metal-containing films
US11473197B2 (en) * 2018-03-16 2022-10-18 Raytheon Technologies Corporation HPC and HPT disks coated by atomic layer deposition
CN111936664A (en) * 2018-03-19 2020-11-13 应用材料公司 Method for depositing a coating on an aerospace component
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
FR3086673B1 (en) * 2018-10-01 2021-06-04 Commissariat Energie Atomique MULTI-LAYER STACKING FOR CVD GROWTH OF CARBON NANOTUBES
US20220213593A1 (en) * 2019-04-12 2022-07-07 Asml Netherlands B.V. Method and apparatus for forming a patterned layer of material
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US20210010378A1 (en) * 2019-07-08 2021-01-14 Pratt & Whitney Canada Corp. Pulse-managed plasma method for coating on internal surfaces of workpieces
US11466364B2 (en) * 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
KR20220079618A (en) * 2019-10-08 2022-06-13 어플라이드 머티어리얼스, 인코포레이티드 Methods of depositing anti-caulking protective coatings on aerospace components
US20210156267A1 (en) * 2019-11-21 2021-05-27 Applied Materials, Inc. Methods for depositing protective coatings on turbine blades and other aerospace components
US11306387B2 (en) * 2020-01-17 2022-04-19 Lockheed Martin Corporation Thermal protection system for lightweight hypersonic missile fin
KR20210128343A (en) * 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. Method of forming chromium nitride layer and structure including the chromium nitride layer
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
EP4200089A1 (en) * 2020-08-18 2023-06-28 Applied Materials, Inc. Methods for cleaning aerospace components
US20220154335A1 (en) * 2020-11-18 2022-05-19 Applied Materials, Inc. Aerospace components having protective coatings and methods for preparing the same
WO2023102440A1 (en) * 2021-12-03 2023-06-08 Lam Research Corporation Conformal, carbon-doped silicon nitride films and methods thereof

Family Cites Families (331)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0209307B1 (en) 1985-07-15 1988-09-07 The Secretary of State for Defence in Her Britannic Majesty's Government of the United Kingdom of Great Britain and Cleaning of metal articles
US5217757A (en) 1986-11-03 1993-06-08 United Technologies Corporation Method for applying aluminide coatings to superalloys
FR2643087B1 (en) 1989-02-16 1991-06-07 Unirec PROCESS FOR DEPOSITING A CERAMIC-TYPE COATING ON A METAL SUBSTRATE AND ELEMENT COMPRISING A COATING OBTAINED BY THIS PROCESS
JP2823086B2 (en) 1990-05-21 1998-11-11 日本碍子株式会社 Connecting member and connecting method thereof
US5071678A (en) 1990-10-09 1991-12-10 United Technologies Corporation Process for applying gas phase diffusion aluminide coatings
US5362228A (en) 1991-11-04 1994-11-08 Societe Europeenne De Propulsion Apparatus for preheating a flow of gas in an installation for chemical vapor infiltration, and a densification method using the apparatus
US6379466B1 (en) 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
US5494829A (en) 1992-07-31 1996-02-27 Biostar, Inc. Devices and methods for detection of an analyte based upon light interference
US6495271B1 (en) 1993-03-01 2002-12-17 General Electric Company Spallation-resistant protective layer on high performance alloys
US5503874A (en) * 1994-09-30 1996-04-02 General Electric Company Method for low temperature chemical vapor deposition of aluminides containing easily oxidized metals
US5494704A (en) 1994-10-03 1996-02-27 General Electric Company Low temperature chemical vapor deposition of protective coating containing platinum
WO1996011288A1 (en) * 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
DE19680259T1 (en) 1995-04-06 1997-06-19 Gen Electric Process and composite for protecting a thermal barrier coating by an impervious barrier coating
DE19607625C1 (en) 1996-02-29 1996-12-12 Mtu Muenchen Gmbh Preparing and/or coating surfaces of hollow components
DE19709406A1 (en) 1996-09-30 1998-04-09 Basf Coatings Ag Method of measuring painted test panels
KR100492258B1 (en) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 Reaction gas ejection head
EP0944746B1 (en) 1996-12-10 2001-07-04 Siemens Aktiengesellschaft Hot-gas exposable product fitted with a heat-insulating layer and a method for the production thereof
US5912069A (en) * 1996-12-19 1999-06-15 Sigma Laboratories Of Arizona Metal nanolaminate composite
JP2981184B2 (en) 1997-02-21 1999-11-22 トーカロ株式会社 Boiler heat transfer tube and method for producing boiler heat transfer tube with excellent effect of suppressing deposit adhesion on inner surface of tube
JP2991990B2 (en) 1997-03-24 1999-12-20 トーカロ株式会社 Thermal spray coating for high temperature environment and method of manufacturing the same
US6762396B2 (en) * 1997-05-06 2004-07-13 Thermoceramix, Llc Deposited resistive coatings
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6872429B1 (en) 1997-06-30 2005-03-29 Applied Materials, Inc. Deposition of tungsten nitride using plasma pretreatment in a chemical vapor deposition chamber
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
DE19803740C2 (en) 1998-01-30 2001-05-31 Mtu Aero Engines Gmbh Gas phase coating method and device for gas phase coating workpieces
US5964928A (en) * 1998-03-12 1999-10-12 Natural Coating Systems, Llc Protective coatings for metals and other surfaces
US20040180233A1 (en) * 1998-04-29 2004-09-16 Siemens Aktiengesellschaft Product having a layer which protects against corrosion. and process for producing a layer which protects against corrosion
US6805750B1 (en) 1998-06-12 2004-10-19 United Technologies Corporation Surface preparation process for deposition of ceramic coating
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6514629B1 (en) 1998-12-15 2003-02-04 General Electric Company Article with hafnium-silicon-modified platinum-aluminum bond or environmental coating
US6042898A (en) 1998-12-15 2000-03-28 United Technologies Corporation Method for applying improved durability thermal barrier coatings
US6224941B1 (en) 1998-12-22 2001-05-01 General Electric Company Pulsed-vapor phase aluminide process for high temperature oxidation-resistant coating applications
US6107186A (en) 1999-01-27 2000-08-22 Advanced Micro Devices, Inc. High planarity high-density in-laid metallization patterns by damascene-CMP processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6207295B1 (en) * 1999-07-13 2001-03-27 General Electric Company Article with tailorable high temperature coating
US6402898B1 (en) 1999-07-28 2002-06-11 Yeda Research And Development Co., Ltd. Coherently controlled laser distillation of chiral enantiomers
US6254756B1 (en) 1999-08-11 2001-07-03 General Electric Company Preparation of components having a partial platinum coating thereon
US6332926B1 (en) 1999-08-11 2001-12-25 General Electric Company Apparatus and method for selectively coating internal and external surfaces of an airfoil
US6273678B1 (en) 1999-08-11 2001-08-14 General Electric Company Modified diffusion aluminide coating for internal surfaces of gas turbine components
US6359089B2 (en) 2000-04-19 2002-03-19 Dupont Dow Elastomers, L.L.C. Fluorovinyl ether cure site monomers and fluoroelastomer copolymer compositions thereof
US6630244B1 (en) 2001-03-23 2003-10-07 Delavan Inc. Carbon resistant surface coating
JP3603112B2 (en) 2000-05-31 2004-12-22 独立行政法人産業技術総合研究所 Low temperature production of alumina crystalline thin film
US6589668B1 (en) 2000-06-21 2003-07-08 Howmet Research Corporation Graded platinum diffusion aluminide coating
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6434876B1 (en) 2000-09-26 2002-08-20 General Electric Company Method of applying a particle-embedded coating to a substrate
US20020127336A1 (en) 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6544665B2 (en) 2001-01-18 2003-04-08 General Electric Company Thermally-stabilized thermal barrier coating
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6465040B2 (en) 2001-02-06 2002-10-15 General Electric Company Method for refurbishing a coating including a thermally grown oxide
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
EP1247941A1 (en) * 2001-04-03 2002-10-09 Siemens Aktiengesellschaft Gas turbine blade
US6485262B1 (en) 2001-07-06 2002-11-26 General Electric Company Methods and apparatus for extending gas turbine engine airfoils useful life
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
AU2002354941A1 (en) 2001-07-17 2003-03-03 Dana-Farber Cancer Institute, Inc. Mll translocations specify a distinct gene expression profile, distinguishing a unique leukemia
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP2005504885A (en) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド Barrier formation using a novel sputter deposition method
KR101013231B1 (en) * 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. Metal nitride deposition by ald with reduction pulse
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6821891B2 (en) 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
JP2003164819A (en) 2001-11-30 2003-06-10 Fujikura Ltd Method for cleaning extruder or its part
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6949342B2 (en) 2001-12-21 2005-09-27 Whitehead Institute For Biomedical Research Prostate cancer diagnosis and outcome prediction by expression analysis
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR100442869B1 (en) 2002-02-02 2004-08-02 삼성전자주식회사 Equipment for cleaning process of the semiconductor wafer using vaporizing chemicals and cleaning process using the same equipment
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6905939B2 (en) 2002-02-27 2005-06-14 Applied Materials, Inc. Process for forming silicon oxide material
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7094445B2 (en) 2002-05-07 2006-08-22 General Electric Company Dimensionally controlled pack aluminiding of internal surfaces of a hollow article
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20040009665A1 (en) 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
KR101044366B1 (en) 2002-06-12 2011-06-29 어플라이드 머티어리얼스, 인코포레이티드 Plasma method and apparatus for processing a substrate
US20080090425A9 (en) 2002-06-12 2008-04-17 Christopher Olsen Two-step post nitridation annealing for lower EOT plasma nitrided gate dielectrics
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US20040018738A1 (en) 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US6808816B2 (en) 2002-09-13 2004-10-26 General Electric Company Method and coating system for reducing carbonaceous deposits on surfaces exposed to hydrocarbon fuels at elevated temperatures
EP1411210A1 (en) * 2002-10-15 2004-04-21 ALSTOM Technology Ltd Method of depositing an oxidation and fatigue resistant MCrAIY-coating
US8555921B2 (en) 2002-12-18 2013-10-15 Vapor Technologies Inc. Faucet component with coating
US8257450B2 (en) 2002-12-18 2012-09-04 Afton Chemical Intangibles Llc Manganese compounds to inhibit both low-and high-temperature corrosion in utility and industrial furnace systems
US20070023142A1 (en) 2002-12-19 2007-02-01 Lagraff John R Airfoil refurbishment method
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7060366B2 (en) 2003-02-19 2006-06-13 General Electric Company Article including a substrate with a metallic coating and a chromium-aluminide protective coating thereon, and its preparation and use in component restoration
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
JP3865705B2 (en) 2003-03-24 2007-01-10 トーカロ株式会社 Heat shielding coating material excellent in corrosion resistance and heat resistance, and method for producing the same
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US6887589B2 (en) 2003-04-18 2005-05-03 General Electric Company Nickel aluminide coating and coating systems formed therewith
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
KR100630677B1 (en) 2003-07-02 2006-10-02 삼성전자주식회사 Etching process having plasma pre-treatment for inducing carbon contained fluorine free - polymer on photoresist patterns
US6905730B2 (en) 2003-07-08 2005-06-14 General Electric Company Aluminide coating of turbine engine component
US20100151125A1 (en) 2003-08-04 2010-06-17 General Electric Company Slurry chromizing process
GB0319349D0 (en) 2003-08-18 2003-09-17 Southside Thermal Sciences Sts Coatings and an optical method for detecting corrosion processes in coatings
US6921251B2 (en) * 2003-09-05 2005-07-26 General Electric Company Aluminide or chromide coating of turbine engine rotor component
US20050085031A1 (en) 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US6979498B2 (en) 2003-11-25 2005-12-27 General Electric Company Strengthened bond coats for thermal barrier coatings
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7361233B2 (en) 2003-12-10 2008-04-22 General Electric Company Methods of hydrogen cleaning of metallic surfaces
US7285312B2 (en) 2004-01-16 2007-10-23 Honeywell International, Inc. Atomic layer deposition for turbine components
US20050255329A1 (en) 2004-05-12 2005-11-17 General Electric Company Superalloy article having corrosion resistant coating thereon
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
JP2006010403A (en) 2004-06-23 2006-01-12 Seiko Epson Corp Method for repairing exterior component for timepiece
DE602005016933D1 (en) * 2004-06-28 2009-11-12 Cambridge Nanotech Inc ATOMIC SEPARATION SYSTEM AND METHOD
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060021633A1 (en) 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US7875119B2 (en) 2004-10-01 2011-01-25 United Technologies Corporation Apparatus and method for coating an article
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060093849A1 (en) 2004-11-02 2006-05-04 Farmer Andrew D Method for applying chromium-containing coating to metal substrate and coated article thereof
US7374825B2 (en) 2004-12-01 2008-05-20 General Electric Company Protection of thermal barrier coating by an impermeable barrier coating
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060141283A1 (en) 2004-12-29 2006-06-29 Honeywell International, Inc. Low cost inovative diffused MCrAIY coatings
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
JP3757418B1 (en) 2005-01-19 2006-03-22 石川島播磨重工業株式会社 Method for local application of diffusion aluminide coating
US7508648B2 (en) * 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
KR100674967B1 (en) 2005-04-06 2007-01-26 삼성전자주식회사 Method of forming photoresist patterns having fine pitch using double patterning technique
WO2006116841A1 (en) 2005-04-29 2006-11-09 National Research Council Of Canada Method of on-line thickness measurement of applied coatings
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7317229B2 (en) 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US7838070B2 (en) 2005-07-28 2010-11-23 General Electric Company Method of coating gas turbine components
US20070049043A1 (en) 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7740960B1 (en) 2005-08-26 2010-06-22 The United States Of America As Represented By The Secretary Of The Army Multifunctionally graded environmental barrier coatings for silicon-base ceramic components
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070054487A1 (en) 2005-09-06 2007-03-08 Applied Materials, Inc. Atomic layer deposition processes for ruthenium materials
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
EP1772531A1 (en) 2005-10-07 2007-04-11 Siemens Aktiengesellschaft Method and apparatus for internal coating.
US7807231B2 (en) 2005-11-30 2010-10-05 General Electric Company Process for forming thermal barrier coating resistant to infiltration
KR101192248B1 (en) 2005-12-21 2012-10-17 에스케이케미칼주식회사 Metal surface treating agent composition
US20070160859A1 (en) 2006-01-06 2007-07-12 General Electric Company Layered thermal barrier coatings containing lanthanide series oxides for improved resistance to CMAS degradation
JP2007199188A (en) 2006-01-24 2007-08-09 Seiko Epson Corp Electrooptical apparatus and method for manufacturing the same, and electronic equipment
FI121341B (en) * 2006-02-02 2010-10-15 Beneq Oy Silver protective coating
US7833358B2 (en) 2006-04-07 2010-11-16 Applied Materials, Inc. Method of recovering valuable material from exhaust gas stream of a reaction chamber
US20070259111A1 (en) 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US20070274837A1 (en) * 2006-05-26 2007-11-29 Thomas Alan Taylor Blade tip coatings
JP5558815B2 (en) 2006-06-30 2014-07-23 アプライド マテリアルズ インコーポレイテッド Nanocrystal formation
US20080032510A1 (en) 2006-08-04 2008-02-07 Christopher Olsen Cmos sion gate dielectric performance with double plasma nitridation containing noble gas
US7759747B2 (en) * 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7700167B2 (en) 2006-08-31 2010-04-20 Honeywell International Inc. Erosion-protective coatings on polymer-matrix composites and components incorporating such coated composites
US7927656B2 (en) 2006-08-31 2011-04-19 General Electric Company Method and apparatus for controlling diffusion coating of internal passages
US20090239061A1 (en) 2006-11-08 2009-09-24 General Electric Corporation Ceramic corrosion resistant coating for oxidation resistance
WO2008057123A1 (en) 2006-11-09 2008-05-15 Massachusetts Institute Of Technology Preparation and properties of cr-c-p hard coatings annealed at high temperature for high temperature applications
US7776395B2 (en) 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
WO2008119173A1 (en) * 2007-03-30 2008-10-09 Innovative Materials Technologies Inc. Coating
US20080268154A1 (en) 2007-04-30 2008-10-30 Shreyas Kher Methods for depositing a high-k dielectric material using chemical vapor deposition process
US8056652B2 (en) * 2007-06-25 2011-11-15 Smith International, Inc. Barrier coated granules for improved hardfacing material using atomic layer deposition
US7910446B2 (en) 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US20090134035A1 (en) 2007-08-02 2009-05-28 United Technologies Corporation Method for forming platinum aluminide diffusion coatings
KR101281682B1 (en) * 2007-08-29 2013-07-03 삼성전자주식회사 Methods of forming aluminum oxide layer and manufacturing charge trap memory device using the same
US20090074522A1 (en) * 2007-09-17 2009-03-19 Northwestern University Reduced-friction coatings
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7737028B2 (en) 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US20090098289A1 (en) 2007-10-12 2009-04-16 Deininger Mark A Pig and Method for Applying Prophylactic Surface Treatments
US7833586B2 (en) 2007-10-24 2010-11-16 General Electric Company Alumina-based protective coatings for thermal barrier coatings
WO2009091719A1 (en) 2008-01-14 2009-07-23 Applera Corporation Compositions, methods, and kits for detecting ribonucleic acid
US8114852B2 (en) 2008-01-15 2012-02-14 The United States Of America As Represented By The Secretary Of Agriculture N-linked glycosylation alteration in E1 glycoprotein of classical swine fever virus and novel classical swine fever virus vaccine
US20090186237A1 (en) 2008-01-18 2009-07-23 Rolls-Royce Corp. CMAS-Resistant Thermal Barrier Coatings
US20110175038A1 (en) * 2008-01-28 2011-07-21 College Of William & Mary Coated carbon nanoflakes
US8227078B2 (en) 2008-02-11 2012-07-24 General Electric Company Anti-fouling coatings for combustion system components exposed to slag, ash and/or char
GB0805224D0 (en) 2008-03-20 2008-04-30 Minebea Co Ltd An aerospace bearing component
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7816200B2 (en) 2008-04-22 2010-10-19 Applied Materials, Inc. Hardware set for growth of high k and capping material films
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8609206B2 (en) 2008-05-01 2013-12-17 Maxim Seleznev Continuous or discrete metallization layer on a ceramic substrate
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
US7573586B1 (en) 2008-06-02 2009-08-11 United Technologies Corporation Method and system for measuring a coating thickness
CN101339895B (en) 2008-08-22 2010-06-02 北京北方微电子基地设备工艺研究中心有限责任公司 Gas distribution device and plasma processing apparatus applying the same
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100075499A1 (en) 2008-09-19 2010-03-25 Olsen Christopher S Method and apparatus for metal silicide formation
US8031346B2 (en) 2008-10-31 2011-10-04 Siemens Energy, Inc. Coating evaluation process
US20100120245A1 (en) 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US8470460B2 (en) 2008-11-25 2013-06-25 Rolls-Royce Corporation Multilayer thermal barrier coatings
US8658255B2 (en) 2008-12-19 2014-02-25 General Electric Company Methods for making environmental barrier coatings and ceramic components having CMAS mitigation capability
CN102265383B (en) 2008-12-31 2014-06-11 应用材料公司 Method of depositing tungsten film with reduced resistivity and improved surface morphology
GB0902633D0 (en) 2009-02-18 2009-04-01 Rolls Royce Plc A method and an arrangement for vapour phase coating of an internal surface of at least one hollow article
US8721812B2 (en) 2009-04-07 2014-05-13 Rolls-Royce Corporation Techniques for controlling precipitate phase domain size in an alloy
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US8778445B2 (en) 2009-05-08 2014-07-15 Mt Coatings, Llc Apparatus and methods for forming modified metal coatings
SG175709A1 (en) * 2009-05-28 2011-12-29 Kovio Inc Semiconductor devices on diffusion barrier coated substrates and methods of making the same
US9183973B2 (en) * 2009-05-28 2015-11-10 Thin Film Electronics Asa Diffusion barrier coated substrates and methods of making the same
US8413928B2 (en) * 2009-09-25 2013-04-09 The Boeing Company Structurally designed aerodynamic riblets
US8439137B1 (en) 2010-01-15 2013-05-14 Us Synthetic Corporation Superabrasive compact including at least one braze layer thereon, in-process drill bit assembly including same, and method of manufacture
JP5581805B2 (en) 2010-05-24 2014-09-03 トヨタ自動車株式会社 Method for plating stainless steel material and plating material thereof
EP2392895B1 (en) 2010-06-01 2013-03-06 Tenaris Connections Ltd. Method for measurement of geometrical parameters of coated threaded joints
US20120024403A1 (en) 2010-07-30 2012-02-02 Hamilton Sundstrand Corporation Inorganic coke resistant coatings to prevent aircraft fuel system fouling
WO2012027357A2 (en) 2010-08-24 2012-03-01 Wayne State University Thermally stable volatile precursors
DE102010040839B4 (en) * 2010-09-15 2013-10-17 Osram Opto Semiconductors Gmbh Method for producing an electronic component and electronic component
US8871297B2 (en) 2010-09-30 2014-10-28 Barry Barnett Method of applying a nanocrystalline coating to a gas turbine engine component
US8673397B2 (en) 2010-11-10 2014-03-18 General Electric Company Methods of fabricating and coating a component
KR101679721B1 (en) * 2010-12-13 2016-11-28 삼성전자주식회사 A photomask and methods of manufacturing the photomask
US8592005B2 (en) * 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
TW201308021A (en) 2011-06-15 2013-02-16 Applied Materials Inc Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
TWI492298B (en) 2011-08-26 2015-07-11 Applied Materials Inc Double patterning etching process
US20130052790A1 (en) * 2011-08-29 2013-02-28 Elpida Memory, Inc. Doping approach of titanium dioxide for dram capacitors
KR20140085461A (en) 2011-09-27 2014-07-07 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Nickel bis diazabutadiene precursors, their synthesis, and their use for nickel containing film depositions
US8779407B2 (en) * 2012-02-07 2014-07-15 Intermolecular, Inc. Multifunctional electrode
JP6126852B2 (en) 2012-02-21 2017-05-10 ハウメット コーポレイションHowmet Corporation Gas turbine component coating and coating method
US9187511B2 (en) 2012-05-01 2015-11-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-aluminum alloy deposition with titanium-tetrahydroaluminate bimetallic molecules
EP2733310A1 (en) 2012-11-16 2014-05-21 Siemens Aktiengesellschaft Modified surface around a hole
FR3000601B1 (en) 2012-12-28 2016-12-09 Commissariat Energie Atomique METHOD FOR FORMING SPACERS OF A GRID OF A TRANSISTOR
GB2511768A (en) 2013-03-12 2014-09-17 Rolls Royce Plc Erosion Resistant Coating
KR101701257B1 (en) 2013-03-14 2017-02-01 어플라이드 머티어리얼스, 인코포레이티드 Thin film encapsulation - thin ultra high barrier layer for oled application
EA201500949A1 (en) * 2013-03-15 2016-02-29 Модьюметл, Инк. METHOD OF FORMING A MULTILAYER COATING, A COATING FORMED BY THE ABOVE METHOD, AND A MULTILAYER COATING
CA2905548C (en) * 2013-03-15 2022-04-26 Modumetal, Inc. Nanolaminate coatings
US9869020B2 (en) 2013-04-10 2018-01-16 Picosun Oy Protecting a target pump interior with an ALD coating
BR112015026344B1 (en) 2013-04-16 2022-05-31 Oerlikon Surface Solutions Ag, Pfãffikon Chromium-based oxidation protection layer
EP3049547B1 (en) 2013-09-24 2019-01-16 United Technologies Corporation Method of simultaneously applying three different diffusion aluminide coatings to a single part
EP3060695B1 (en) 2013-10-21 2019-12-11 United Technologies Corporation Ceramic attachment configuration and method for manufacturing same
CN104647828B (en) 2013-11-21 2017-06-16 北京有色金属研究总院 A kind of Cr2O3And Al2O3Complex gradient hydrogen resistance coating and its preparation method and application
EP3080327A1 (en) 2013-12-10 2016-10-19 Applied Materials, Inc. Evaporation source for organic material, apparatus having an evaporation source for organic material, system having an evaporation deposition apparatus with an evaporation source for organic materials, and method for operating an evaporation source for organic material
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9873940B2 (en) * 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
US10539036B2 (en) * 2014-01-14 2020-01-21 United Technologies Corporation Abradable seal having nanolayer material
CN105917030B (en) 2014-01-15 2018-04-13 萨夫罗克有限公司 For generating the method for the laminated coating containing chromium and the object of coating
BR112016016106B1 (en) 2014-01-15 2023-04-04 Savroc Ltd METHOD FOR THE PRODUCTION OF A CHROME COATING ON AN OBJECT BY TRIVALENT CHROMEING
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US20170018425A1 (en) * 2014-03-12 2017-01-19 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Heteroleptic diazadienyl group 4 transition metal-containing compounds for vapor deposition of group 4 transition metal-containing films
KR20170002607A (en) 2014-05-09 2017-01-06 어플라이드 머티어리얼스, 인코포레이티드 Substrate carrier system and method for using the same
WO2015191543A1 (en) 2014-06-10 2015-12-17 Applied Materials Israel, Ltd. Scanning an object using multiple mechanical stages
US9612522B2 (en) 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
US10487412B2 (en) 2014-07-11 2019-11-26 Savroc Ltd Chromium-containing coating, a method for its production and a coated object
US20160024679A1 (en) * 2014-07-27 2016-01-28 Csa Group Llc Turbine engine component with a diffused chromium layer
US10072335B2 (en) 2014-08-29 2018-09-11 University Of Maryland, College Park Method of coating of object
CN106687225A (en) 2014-09-22 2017-05-17 3M创新有限公司 Internal combustion engine components with anti-fouling properties and methods of making same
US9620150B2 (en) 2014-11-11 2017-04-11 Seagate Technology Llc Devices including an amorphous gas barrier layer
EP3227474B1 (en) 2014-12-04 2019-11-06 Meotec GmbH & Co. KG Component of a turbocharger, combustion engine comprising said turbocharger and manufacturing method for a component of a turbocharger
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
US20160184890A1 (en) * 2014-12-30 2016-06-30 General Electric Company Chromide coatings, articles coated with chromide coatings, and processes for forming chromide coatings
JP6465699B2 (en) * 2015-03-06 2019-02-06 株式会社Adeka Diazadienyl compound, raw material for thin film formation, method for producing thin film, and diazadiene compound
US9828672B2 (en) * 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9683281B2 (en) 2015-04-10 2017-06-20 United Technologies Corporation Laser assisted oxide removal
US10282647B2 (en) 2015-05-05 2019-05-07 Massachusetts Institute Of Technology Substrate pre-scanning for high throughput microscopy
CN107615557A (en) 2015-05-15 2018-01-19 应用材料公司 Manufacture hull cell in lithium depositing operation in use covering appts, the equipment for lithium depositing operation, manufacture hull cell electrode method and hull cell
DE102015209824A1 (en) 2015-05-28 2016-12-01 BSH Hausgeräte GmbH Apparatus and method for determining the contaminant load in a rinsing or washing liquor
KR20170063149A (en) 2015-11-30 2017-06-08 재단법인 포항산업과학연구원 Device and method for cleaning surface of material
FR3044684B1 (en) 2015-12-03 2017-12-08 Ge Energy Products France Snc VANADI CORROSION INHIBITORS BASED ON YTTRIUM AND MAGNESIUM
EP3205765A1 (en) 2016-02-11 2017-08-16 The Procter and Gamble Company Method of washing
US20170241273A1 (en) 2016-02-18 2017-08-24 General Electric Company System and Method for Simultaneously Depositing Multiple Coatings on a Turbine Blade of a Gas Turbine Engine
KR101761736B1 (en) 2016-02-29 2017-07-26 주식회사 성진케미칼 Oxide film remover using low concentration chelate compound and utilzation method thereof
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
KR102511828B1 (en) 2016-06-29 2023-03-21 삼성전자주식회사 Method for manufacturing magnetic memory device
US10081036B2 (en) 2016-09-19 2018-09-25 Applied Materials, Inc. Methods and systems for liquid particle prequalification
US10590558B2 (en) * 2016-09-23 2020-03-17 Xtalic Corporation Nanostructured aluminum alloys for improved hardness
US20180261686A1 (en) 2017-03-13 2018-09-13 Applied Materials, Inc. Transistor sidewall formation process
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN108966657B (en) 2017-03-17 2020-10-23 应用材料公司 Carrier, vacuum system and method for operating a vacuum system
US10422984B2 (en) 2017-05-12 2019-09-24 Applied Materials, Inc. Flexible mode scanning optical microscopy and inspection system
US20180340445A1 (en) * 2017-05-25 2018-11-29 United Technologies Corporation Aluminum-chromium oxide coating and method therefor
US10954129B2 (en) 2017-06-08 2021-03-23 Applied Materials, Inc. Diamond-like carbon as mandrel
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
EP3453510B1 (en) * 2017-09-08 2022-03-02 Apple Inc. Bonding polymer material to anodized metal using cavities
TWI782077B (en) 2017-09-11 2022-11-01 美商應用材料股份有限公司 Photomask cleaning processes
TWI796358B (en) 2017-09-18 2023-03-21 美商應用材料股份有限公司 Selectively etched self-aligned via processes
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
CA3093404A1 (en) 2018-03-09 2019-09-12 Merit Medical Systems, Inc. Ultrasound compatible inflatable vascular compression and related systems and methods
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11473197B2 (en) 2018-03-16 2022-10-18 Raytheon Technologies Corporation HPC and HPT disks coated by atomic layer deposition
CN111936664A (en) 2018-03-19 2020-11-13 应用材料公司 Method for depositing a coating on an aerospace component
US20190284692A1 (en) 2018-03-19 2019-09-19 Applied Materials, Inc. Reactor for applying a coating on internal surfaces of components
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. Protection of components from corrosion
TWI821300B (en) 2018-06-19 2023-11-11 美商應用材料股份有限公司 Deposition system with shield mount
US20200043722A1 (en) 2018-07-31 2020-02-06 Applied Materials, Inc. Cvd based spacer deposition with zero loading
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) * 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components

Also Published As

Publication number Publication date
US20190284686A1 (en) 2019-09-19
US20210292901A1 (en) 2021-09-23
US20200240018A1 (en) 2020-07-30
EP3768874A1 (en) 2021-01-27
US20230184119A1 (en) 2023-06-15
WO2019182967A1 (en) 2019-09-26
EP3768874A4 (en) 2022-03-30
CN111936664A (en) 2020-11-13
US11603767B2 (en) 2023-03-14
US20220298920A1 (en) 2022-09-22
US20190284694A1 (en) 2019-09-19
US11028480B2 (en) 2021-06-08
US11560804B2 (en) 2023-01-24
US10633740B2 (en) 2020-04-28
US11384648B2 (en) 2022-07-12

Similar Documents

Publication Publication Date Title
SG11202008268RA (en) Methods for depositing coatings on aerospace components
SG11202112709PA (en) Methods for depositing sacrificial coatings on aerospace components
TWI799494B (en) Deposition method
IL268115B1 (en) Antireflection coatings for metasurfaces
EP3468725A4 (en) Apparatus for coating pipes
SG11202112205WA (en) Methods for depositing anti-coking protective coatings on aerospace components
EP3149222A4 (en) Plating or coating method for producing metal-ceramic coating on a substrate
EP3613405A4 (en) Method for forming coating film
ZA202103149B (en) Deposition system for hair
EP3400430A4 (en) Object position independent method to measure the thickness of coatings deposited on curved objects moving at high rates
EP3443139A4 (en) Coating by ald for suppressing metallic whiskers
EP3606757A4 (en) Apparatus for spray deposition
EP3613411A4 (en) Method for producing coating
EP3426807A4 (en) Methods for metal coating
EP3492182A4 (en) Method for producing coated article
GB201706581D0 (en) Apparatus and methods for depositing durable optical coatings
EP3305421A4 (en) Pretreatment method for coating or printing
EP3305420A4 (en) Pretreatment method for coating or printing
EP3565030A4 (en) Coating apparatus
EP3610053A4 (en) Uniform deposition
PT3315209T (en) System for applying temporarily acting surface function coatings by spraying
EP3969638C0 (en) Method for coating parts
EP3414357A4 (en) An apparatus for atomic layer deposition
IL268668B1 (en) Method for depositing functional traces
EP3833322A4 (en) Method for producing coating