KR20170063149A - Device and method for cleaning surface of material - Google Patents

Device and method for cleaning surface of material Download PDF

Info

Publication number
KR20170063149A
KR20170063149A KR1020150169184A KR20150169184A KR20170063149A KR 20170063149 A KR20170063149 A KR 20170063149A KR 1020150169184 A KR1020150169184 A KR 1020150169184A KR 20150169184 A KR20150169184 A KR 20150169184A KR 20170063149 A KR20170063149 A KR 20170063149A
Authority
KR
South Korea
Prior art keywords
cleaning
laser
solution
contaminants
workpiece
Prior art date
Application number
KR1020150169184A
Other languages
Korean (ko)
Inventor
박순홍
Original Assignee
재단법인 포항산업과학연구원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 재단법인 포항산업과학연구원 filed Critical 재단법인 포항산업과학연구원
Priority to KR1020150169184A priority Critical patent/KR20170063149A/en
Publication of KR20170063149A publication Critical patent/KR20170063149A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02098Cleaning only involving lasers, e.g. laser ablation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Cleaning By Liquid Or Steam (AREA)
  • Cleaning In General (AREA)

Abstract

레이저에 의한 열 영향을 최소화하여 소재의 열변형을 방지하면서 소재 표면에 묻은 오염물질을 보다 효과적으로 제거할 수 있고, 레이저 세정 시 발생된 파티클 등을 용이하게 제거할 수 있도록, 소재의 표면에 형성된 금속 또는 금속반응 생성물을 포함하는 오염물질을 제거하기 위한 소재 세정 장치로, 오염물질이 묻은 소재의 표면에 국부적으로 피코초 이하의 초단 펄스를 가지는 레이저를 조사하여 소재 표면에 초단 펄스의 피크 에너지를 가해 오염물질을 세정하는 레이저조사부, 내부에 용액이 채워지고 용액 내에 소재가 놓여지도록 된 세정조, 상기 세정조에 연결되어 세정조 내에 놓여진 소재에 오염물질 제거를 위한 보조 에너지를 가하는 보조세정부를 포함하는 소재 표면 세정 장치를 제공한다.It is possible to more effectively remove the contaminants adhering to the surface of the material while minimizing thermal influence of the material by minimizing thermal influence by the laser and to remove the particles generated during the cleaning of the laser with ease, Or a metal reaction product. The laser is irradiated to the surface of the contaminated material locally with a laser pulse having a pulse of less than picosecond to apply a peak energy of a short pulse to the surface of the material A laser irradiation unit for cleaning the contaminants, a cleaning tank filled with the solution and placed in the solution, and an auxiliary cleaning unit connected to the cleaning tank to apply auxiliary energy for removing contaminants to the material placed in the cleaning tank A material surface cleaning apparatus is provided.

Figure P1020150169184
Figure P1020150169184

Description

소재 표면 세정 장치 및 세정 방법{DEVICE AND METHOD FOR CLEANING SURFACE OF MATERIAL}TECHNICAL FIELD [0001] The present invention relates to a material surface cleaning apparatus and a cleaning method,

본 발명은 레이저를 이용하여 금속이나 세라믹 등의 부품 표면에서 오염물을 제거하기 위한 소재 표면 세정 장치 및 세정 방법에 관한 것이다.The present invention relates to a material surface cleaning apparatus and a cleaning method for removing contaminants from the surfaces of parts such as metals and ceramics using a laser.

예를 들어, 반도체 및 디스플레이 공정에서는 기능성 적층을 위하여 다양한 종류의 금속 증착을 CVD(Chemical Vapor Deposition), PVD(Physical Vapor Deposition) 등을 이용하여 수행한다. 증착 공정의 원리는 금속 증기와 기체를 반응 시켜 기능을 하고자 하는 기판 (금속, 세라믹)의 표면에 금속, 금속 산화물, 질화물 등의 금속 반응 생성물을 코팅층으로 형성하는 것이다.For example, in the semiconductor and display processes, various types of metal deposition are performed using CVD (Chemical Vapor Deposition) or PVD (Physical Vapor Deposition) for functional stacking. The principle of the deposition process is to form a metal reaction product, such as metal, metal oxide, or nitride, on the surface of a substrate (metal, ceramic) to function by reacting a metal vapor with a gas as a coating layer.

그런데 기판에 금속 반응 생성물이 증착되는 동시에 반응 공정에 관여된 반응기의 주변 모든 부품에도 역시 금속 반응 생성물로 인하여 표면 코팅이 일어난다. 반응기 내 부품의 종류에는 챔버, 셔터, 액체 분사기, 기체 분사기, 샤워헤드, 진공 지그, 뷰포인터(유리창), 기타 고정기 등이 있으며 주로 금속이나 세라믹, 유리 재질로 제조된다. 이러한 부품의 표면에는 반복 공정을 통하여 금속 반응 생성물이 코팅층 형태로 형성되며, 이렇게 생성된 부품 표면의 오염 코팅층은 공정이 거듭될수록 두께가 두꺼워진다. 이에, 상기 오염 코팅층이 쉴드(Shield)의 역할을 하거나 일부 박리되어 코팅이 되고자 하는 기판에 불순물로 작용되는 등 문제 발생 가능성이 있으므로 주기적으로 제거해야 한다.However, the metal reaction product is deposited on the substrate, and at the same time, all the peripheral parts of the reactor involved in the reaction process also have surface coating due to the metal reaction product. Types of components in the reactor include chambers, shutters, liquid injectors, gas injectors, showerheads, vacuum jigs, viewpoints (windows), and other fixtures. They are mainly made of metal, ceramic or glass. A metal reaction product is formed in the form of a coating layer on the surface of such a component through a repetitive process, and the contamination coating layer on the surface of the component thus formed becomes thicker as the process is repeated. Therefore, it is necessary to periodically remove the contamination coating layer because it may act as a shield or may act as an impurity on a substrate to be partially peeled off.

일반적으로 오염 코팅층 제거를 위해, 강산 세정, 알카리 세정, 가열, 초음파 세정 등의 일관 공정을 걸쳐 세정을 진행하였다. 그러나, 종래와 같이 강산이나 알카리를 쓰게 되는 경우 사용상의 주의와 인체의 유해성뿐만 아니라 화학물질의 처리에 많은 비용이 소요된다. 이와 더불어 표면 오염 코팅층 제거를 위해 고온으로 가열하는 공정은 부품 전체에 열을 가하게 되어 제품의 변형이 발생할 가능성이 있어 사용의 제약이 있다. 금속 모재 뿐만 아니라 세라믹, 유리, 고분자 소재 역시 표면에 생성되는 금속 또는 금속 산화물을 제거하기 위하여 상기의 공정을 사용하는데 마찬가지로 강산, 강염기 물질 사용으로 인한 위험성 및 고처리 비용 문제뿐만 아니라 고온 가열에 의한 제품 손상의 문제가 있다. 또한, 유기용제를 이용한 초음파 세정의 경우, 인체에 악영향을 미치는 에틸렌이나 오존층을 파괴하는 플로오르 등의 유기 용제를 사용하기 때문에 인체 및 환경에 좋지 않고, 초음파와 유기용제의 증기 방출에 의해 작업자의 건강을 해칠 우려가 높다.Generally, to remove the contaminated coating layer, cleaning was carried out through a consistent process such as strong acid cleaning, alkali cleaning, heating, and ultrasonic cleaning. However, when a strong acid or alkali is used as in the conventional method, it takes a great deal of time to treat chemical substances as well as to pay attention to the use and harmfulness of the human body. In addition, the process of heating to a high temperature for removal of the surface contamination coating layer has a limitation in use because heat may be applied to the entire part, and the product may be deformed. The above process is also used to remove metal or metal oxides on the surface of ceramics, glass, and polymer materials as well as metal base materials. In addition to the risk of using strong acid and strong base materials and high processing cost, There is a problem of damage. In addition, in the case of ultrasonic cleaning using an organic solvent, since organic solvents such as ethylene oxide or fluorine which destroys the ozone layer which adversely affects the human body are used, it is not suitable for the human body and the environment, and the vapor of the ultrasonic wave and the organic solvent There is a high risk of harming health.

이와 더불어 레이저를 이용하여 소재 표면의 오염물질을 제거하는 기술이 제안되어 있으나, 장치나 공정이 복잡하다. 무엇보다도 레이저에 의해 소재 표면이 가열되어 소재에 열변형이 일어나는 문제가 있다.In addition, a technique for removing contaminants on the surface of a workpiece using a laser has been proposed, but the apparatus and the process are complicated. Above all, there is a problem that the surface of the material is heated by the laser and thermal deformation occurs in the material.

이에, 레이저를 이용하여 소재 표면에 묻은 오염물질을 보다 효과적으로 제거할 수 있도록 된 소재 표면 세정 장치 및 세정 방법을 제공한다.Accordingly, there is provided a material surface cleaning apparatus and a cleaning method that can more effectively remove contaminants on the surface of a material by using a laser.

또한, 레이저에 의한 열 영향을 최소화하여 소재의 열변형을 방지하면서 소재 표면에 묻은 오염물질을 보다 효과적으로 제거할 수 있도록 된 소재 표면 세정 장치 및 세정 방법을 제공한다.The present invention also provides a material surface cleaning apparatus and a cleaning method capable of more effectively removing contaminants adhering to a surface of a material while minimizing thermal influence by a laser to prevent thermal deformation of the material.

또한, 레이저 세정 시 발생된 파티클 등을 용이하게 제거할 수 있도록 된 소재 표면 세정 장치 및 세정 방법을 제공한다.The present invention also provides a material surface cleaning apparatus and a cleaning method capable of easily removing particles generated during laser cleaning.

본 구현예의 세정 장치는, 소재의 표면에 형성된 금속 또는 금속반응 생성물을 포함하는 오염물질을 제거하기 위한 소재 세정 장치로, 오염물질이 묻은 소재의 표면에 국부적으로 피코초 이하의 초단 펄스를 가지는 레이저를 조사하여 소재 표면에 초단 펄스의 피크 에너지를 가해 오염물질을 세정하는 레이저조사부, 내부에 용액이 채워지고 용액 내에 소재가 놓여지도록 된 세정조, 상기 세정조에 연결되어 세정조 내에 놓여진 소재에 오염물질 제거를 위한 보조 에너지를 가하는 보조세정부를 포함할 수 있다.The cleaning apparatus of this embodiment is a material cleaning apparatus for removing contaminants including a metal or a metal reaction product formed on the surface of a workpiece. The cleaning apparatus includes a laser having a laser pulse A laser irradiating unit for irradiating the surface of the workpiece with ultrapure pulse peak energy to clean the contaminated material, a cleaning tank filled with a solution and placed in the solution, a cleaning tank connected to the cleaning tank, And an auxiliary cleaner for applying auxiliary energy for removal.

상기 보조세정부는 상기 소재에 초음파를 가하여 소재에 묻은 오염물질을 제거하는 초음파발생부를 포함할 수 있다.The auxiliary cleaning unit may include an ultrasonic generator for removing contaminants from the material by applying ultrasonic waves to the material.

상기 보조세정부는 상기 세정조에 설치되고 세정조 내의 용액을 가열하는 가열부를 포함할 수 있다.The auxiliary cleaning section may include a heating section installed in the cleaning tank and heating the solution in the cleaning tank.

상기 세정조 내에 수용되는 용액은 물 또는 알코일, 아세톤에서 선택되는 세정액일 수 있다.The solution contained in the cleaning tank may be a cleaning solution selected from water, alcohol, or acetone.

상기 세정조 내에 유체 흐름을 형성하여 레이저 세정시 소재 표면에 형성된 파티클을 제거하는 제거부를 더 포함할 수 있다.And a removing unit for removing particles formed on the surface of the workpiece during laser cleaning by forming a fluid flow in the cleaning tank.

상기 제거부는 세정조 일측으로 연결되어 세정조 내부로 용액을 공급하는 공급관과, 상기 공급관에 연결되어 용액을 공급하는 용액공급부를 포함할 수 있다.The removing unit may include a supply pipe connected to one side of the cleaning bath and supplying the solution into the cleaning bath, and a solution supplying unit connected to the supply pipe to supply the solution.

상기 제거부는 세정조에 연결되어 세정조 내부의 용액을 배출하는 배출관과, 상기 배출관에 연결되어 용액을 배출시키는 흡입펌프를 더 포함할 수 있다.The removing unit may further include a discharge pipe connected to the cleaning bath to discharge the solution in the cleaning bath, and a suction pump connected to the discharge pipe to discharge the solution.

본 구현예의 세정 방법은 소재의 표면에 형성된 금속 또는 금속반응 생성물을 포함하는 오염물질을 제거하기 위한 소재 세정 방법으로, 용액이 채워진 세정조 내에 소재를 담궈 준비하는 단계, 피코초 이하의 초단 펄스를 가지는 레이저를 생성하는 단계, 용액을 통해 오염물질이 묻은 소재의 표면에 국부적으로 레이저를 조사하여 초단 펄스의 피크 에너지를 가하여 오염물질을 제거하는 단계, 및 소재에 오염물질 세정을 위한 보조 에너지를 가하는 단계를 포함할 수 있다.The cleaning method of this embodiment is a material cleaning method for removing contaminants containing a metal or a metal reaction product formed on a surface of a workpiece, comprising: preparing a workpiece by immersing the workpiece in a cleaning tank filled with a solution; A step of generating a laser beam having a predetermined wavelength, a step of locally irradiating a surface of the contaminated material through the solution to remove a contaminant by applying peak energy of a short pulse, and a step of applying auxiliary energy for cleaning the contaminant to the material Step < / RTI >

상기 보조 에너지를 가하는 단계는 상기 소재에 초음파를 가하는 단계를 포함할 수 있다.The step of applying the auxiliary energy may include applying ultrasonic waves to the material.

상기 보조 에너지를 가하는 단계는 상기 세정조 내의 용액을 가열하는 단계를 포함할 수 있다.The step of applying the auxiliary energy may include heating the solution in the cleaning bath.

상기 세정 방법은 오염물질 제거시 발생된 파티클을 제거하는 단계를 더 포함할 수 있다.The cleaning method may further include removing particles generated upon removal of the contaminants.

상기 파티클 제거 단계는 세정조 내부로 용액을 공급하는 단계와, 세정조에서 용액을 배출하는 단계를 포함하여, 세정조 내에 유체 흐름을 형성하여 파티클을 제거하는 구조일 수 있다.The particle removing step may include a step of supplying a solution into the cleaning bath, and a step of discharging the solution in the cleaning bath to remove the particles by forming a fluid flow in the cleaning bath.

상기 레이저조사부는 고체레이저, 액체레이저, 기체레이저 또는 반도체레이저를 모드잠금(mode-locking)하고 큐스위칭(Q-switching)하여 펄스 폭을 줄이고 출력을 높여 피코초 이하의 초단 펄스를 갖는 레이저를 생성하는 구조일 수 있다. The laser irradiating unit generates a laser having a short pulse of less than a picosecond by reducing the pulse width by mode-locking and Q-switching a solid laser, a liquid laser, a gas laser or a semiconductor laser Lt; / RTI >

상기 레이저는 팸토초 또는 아토초 펄스를 가지는 구조일 수 있다.The laser may be of a structure having a femto second or atochose pulse.

상기 소재는 금속, 세라믹, 유리 또는 고분자 재질의 소재일 수 있다.The material may be a metal, ceramic, glass, or polymer material.

상기 레이저의 피크 에너지는 0.1 ~ 125μJ일 수 있다.The peak energy of the laser may be 0.1 to 125 μJ.

상기 소재에 대한 레이저 조사 각도는 30 ~ 90도 일 수 있다.The laser irradiation angle for the material may be 30 to 90 degrees.

상기 레이저의 파장은 300nm ~ 1064nm 일 수 있다. The wavelength of the laser may be between 300 nm and 1064 nm.

이상 설명한 바와 같이 본 실시예에 의하면, 피코초 이하의 초단 펄스 레이저를 조사하여 소재의 열변형을 방지하면서 오염물질에 열적 응력을 가해 소재 표면에 묻은 오염물질을 보다 효과적으로 제거할 수 있게 된다.As described above, according to this embodiment, it is possible to more effectively remove the contaminants on the surface of the material by applying a thermal stress to the contaminant while preventing the thermal deformation of the material by irradiating the ultralow pulse laser of picosecond or less.

또한, 레이저 세정과 더불어 유체와 초음파 등을 이용하여 세정을 수행함으로써, 소재에 대한 세정 효율을 높일 수 있게 된다.Further, by performing cleaning using a fluid and an ultrasonic wave in addition to the laser cleaning, the cleaning efficiency for the material can be increased.

또한, 작업 영역 내에서 일정한 유체 흐름을 형성하여, 레이저 세정 과정에서 작업 영역 내에 발생된 파티클의 제거 효율을 높일 수 있게 된다.In addition, it is possible to increase the removal efficiency of the particles generated in the working area in the laser cleaning process by forming a constant fluid flow in the working area.

도 1은 본 실시예에 따른 소재 표면 세정장치의 구성을 도시한 개략적인 도면이다.1 is a schematic view showing a configuration of a work surface cleaning apparatus according to the present embodiment.

이하에서 사용되는 전문용어는 단지 특정 실시예를 언급하기 위한 것이며, 본 발명을 한정하는 것을 의도하지 않는다. 여기서 사용되는 단수 형태들은 문구들이 이와 명백히 반대의 의미를 나타내지 않는 한 복수 형태들도 포함한다. 명세서에서 사용되는 "포함하는"의 의미는 특정 특성, 영역, 정수, 단계, 동작, 요소 및/또는 성분을 구체화하며, 다른 특정 특성, 영역, 정수, 단계, 동작, 요소, 성분 및/또는 군의 존재나 부가를 제외시키는 것은 아니다.The terminology used herein is for the purpose of describing particular embodiments only and is not intended to limit the invention. The singular forms as used herein include plural forms as long as the phrases do not expressly express the opposite meaning thereto. Means that a particular feature, region, integer, step, operation, element and / or component is specified, and that other specific features, regions, integers, steps, operations, elements, components, and / And the like.

이하, 첨부한 도면을 참조하여, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 본 발명의 실시예를 설명한다. 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 용이하게 이해할 수 있는 바와 같이, 후술하는 실시예는 본 발명의 개념과 범위를 벗어나지 않는 한도 내에서 다양한 형태로 변형될 수 있다. 이에, 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시예에 한정되지 않는다.Hereinafter, embodiments of the present invention will be described with reference to the accompanying drawings so that those skilled in the art can easily carry out the present invention. It will be apparent to those skilled in the art that various modifications and variations can be made in the present invention without departing from the spirit or scope of the invention. Accordingly, the present invention may be embodied in many different forms and should not be construed as limited to the embodiments set forth herein.

이하 설명에서 본 실시예는 오염물질 제거 대상 설비로 반도체 또는 디스플레이 공정의 증착 장비에 사용되는 부품을 예로서 설명한다. 물론, 본 발명은 증착 장비의 부품 외에 오염물질이 부착되는 다양한 공정 장비의 다양한 부품에 대해 적용가능하다.In the following description, the present embodiment describes, as an example, a part to be used in a deposition equipment of a semiconductor or a display process as a pollutant removal target facility. Of course, the present invention is applicable to various components of various process equipment to which contaminants are attached in addition to the components of the deposition equipment.

증착 장비는 기판 등에 유기물질을 증착하여 박막을 형성하는 데 사용되는 장비로, 공정 챔버 내에서 기판에 대한 박막 증착이 이루어진다. 이 과정에서 많은 유기물이 장비를 구성하는 부품의 표면에 증착된다. 상기 부품은 예를 들어, 금속이나 세라믹, 유리, 고분자 소재로 이루어질 수 있다. 금속으로는 스테인레스 스틸, 알루미늄, 티타늄 등의 소재가 사용될 수 있고, 세라믹으로는 알루미나, 지르코티아 등의 소재가 사용될 수 있다. 이러한 부품의 표면 조도는 수 nm ~ 수십 마이크로 미터에 이르고, 증착 공정에 의해 부품의 표면에 금속 및 금속 반응 생성물이 부착 생성된다.Deposition equipment is a device used to deposit thin films by depositing organic materials on a substrate or the like. Thin film deposition is performed on the substrate in a process chamber. During this process, many organic materials are deposited on the surface of the components that make up the equipment. The component can be made of, for example, metal, ceramic, glass, or a polymer material. Materials such as stainless steel, aluminum, and titanium may be used as the metal, and materials such as alumina and zirconia may be used as the ceramic. The surface roughness of these parts reaches from several nm to several tens of micrometers, and metal and metal reaction products are adhered to the surface of the component by the deposition process.

도 1은 본 실시예에 따른 세정장치의 구성을 개략적으로 도시하고 있다.Fig. 1 schematically shows a configuration of a cleaning apparatus according to the present embodiment.

도 1에 도시된 바와 같이, 본 실시예의 세정 장치는 소재(100)의 표면에 형성된 금속 또는 금속반응 생성물을 포함하는 오염물질을 제거하기 위한 소재 세정 장치로, 오염물질이 묻은 소재의 표면에 국부적으로 피코초 이하의 초단 펄스를 가지는 레이저를 조사하는 레이저조사부(10), 내부에 용액(22)이 채워지고 용액(22) 내에 소재가 놓여지도록 된 세정조(20), 상기 세정조(20)에 연결되어 세정조(20) 내에 놓여진 소재에 오염물질 제거를 위한 보조 에너지를 가하는 보조세정부를 포함한다. 상기 레이저조사부(10)로부터 조사되는 레이저를 통해 부품 표면에 초단 펄스의 피크 에너지를 가해 오염물질을 세정한다.As shown in FIG. 1, the cleaning apparatus of this embodiment is a material cleaning apparatus for removing contaminants containing metal or metal reaction products formed on the surface of a work 100, A cleaning tank 20 in which a solution 22 is filled and a material is placed in the solution 22, a cleaning tank 20 which is provided in the cleaning tank 20, And an auxiliary cleaning unit connected to the cleaning tank 20 for applying auxiliary energy for removing contaminants to the material placed in the cleaning tank 20. The laser beam irradiated from the laser irradiation unit 10 applies the peak energy of the first pulse to the surface of the component to clean the contaminants.

이와 같이, 본 실시예의 세정장치는, 레이저조사부(10)에 의한 레이저 세정과 더불어 유체를 통해 소재에 보조 에너지를 가해 복합적인 세정을 수행할 수 있게 된다.As described above, in the cleaning apparatus of this embodiment, it is possible to perform complex cleaning by applying auxiliary energy to the material through the fluid, in addition to the laser cleaning by the laser irradiation unit 10.

상기 세정조(20)는 내부에 용액(22)을 수용할 수 있도록 수용공간을 구비한다. 상기 세정조(20)의 상단은 개방되어 상부로 용액(22)이 노출되거나, 별도의 커버로 닫혀져 내부가 밀폐된 구조일 수 있다.The washing tub 20 has a receiving space for accommodating the solution 22 therein. The upper end of the washing tub 20 may be opened to expose the upper part of the solution 22, or may be closed with a separate cover to seal the inside thereof.

상기 세정조(20) 상단이 별도의 커버로 닫혀진 구조의 경우 커버는 레이저조사부(10)로부터 조사되는 레이저가 간섭없이 용이하게 투과될 수 있는 재질로 이루어질 수 있다.In the case of a structure in which the upper end of the washing tub 20 is closed with a separate cover, the cover may be made of a material which can be easily transmitted without interfering with the laser irradiated from the laser irradiation unit 10.

상기 세정조(20) 내에 수용되는 용액(22)은 레이저조사부(10)로 조사되는 레이저에 간섭을 일으키지 않는 물질일 수 있다. 본 실시예에서, 상기 용액(22)은 물일 수 있다. 또는 상기 용액(22)은 자체적으로 소재 표면의 오염물질을 세정할 수 있는 용액(22)으로, 예를 들어, 알코올이나 아세톤일 수 있다.The solution 22 contained in the cleaning tank 20 may be a substance that does not cause interference with the laser irradiated to the laser irradiation unit 10. [ In this embodiment, the solution 22 may be water. Alternatively, the solution 22 may be a solution 22 that can clean the contaminants on the surface of the material itself, for example, alcohol or acetone.

세정조(20) 내의 용액(22)이 알코올이나 아세톤으로 이루어지는 경우, 레이저에 의한 물리적 세정과 더불어 용액(22) 자체에 의해 소재 표면의 오염물질을 제거하는 화학적 세정이 동시에 수행될 수 있다.In the case where the solution 22 in the cleaning tank 20 is made of alcohol or acetone, chemical cleaning can be performed simultaneously with the physical cleaning by the laser, and the cleaning of the contaminants on the surface of the workpiece by the solution 22 itself.

또한, 상기 세정조(20) 내에 수용된 용액(22)은 레이저 세정과정에서 레이저에 의해 소재 표면에 발생되는 열을 냉각시키는 작용을 한다. 소재는 세정조(20)의 용액(22) 내에 들어있으므로, 레이저 세정 과정에서 소재의 표면에서 발생되는 열은 용액(22)에 의해 바로 효과적으로 냉각된다. 이에, 소재의 종류에 관계없이 레이저의 가열에 따른 소재 변형을 방지하면서 레이저를 이용한 세정을 안정적으로 수행할 수 있게 된다. The solution (22) contained in the cleaning tank (20) functions to cool the heat generated on the workpiece surface by the laser during the laser cleaning process. Since the material is contained in the solution 22 of the cleaning tank 20, the heat generated at the surface of the material in the laser cleaning process is effectively cooled directly by the solution 22. [ Accordingly, irrespective of the kind of the material, it is possible to stably perform cleaning using the laser while preventing deformation of the material due to the heating of the laser.

상기 보조세정부는 상기 소재에 초음파를 가하여 소재에 묻은 오염물질을 제거하는 초음파발생부(30)를 포함할 수 있다.The auxiliary cleaning unit may include an ultrasonic generator 30 for removing contaminants from the material by applying ultrasonic waves to the material.

또한, 상기 보조세정부는 상기 세정조(20)에 설치되고 세정조(20) 내의 용액(22)을 가열하는 가열부(32)를 포함할 수 있다.The auxiliary cleaning section may include a heating section 32 installed in the cleaning tank 20 and heating the solution 22 in the cleaning tank 20. [

상기 초음파발생부(30)는 세정조(20) 일측에 설치되어 세정조(20)에 수용된 용액(22)을 매개로 하여 소재 표면에 초음파(ultra sonic)를 가한다. 초음파발생부(30)에서 인가된 초음파 에너지가 소재 표면에 가해져 소재 표면에 부착된 오염물질이 제거된다.The ultrasonic wave generator 30 is installed at one side of the cleaning tank 20 and applies ultrasound to the surface of the workpiece via the solution 22 contained in the cleaning tank 20. [ The ultrasonic energy applied from the ultrasonic wave generator 30 is applied to the surface of the workpiece to remove contaminants attached to the surface of the workpiece.

이와 같이, 레이저 세정과 더불어 초음파를 소재에 가함으로써, 오염물질 제거 효율을 높일 수 있게 된다. In this way, by adding ultrasonic waves to the workpiece in addition to laser cleaning, the pollutant removal efficiency can be increased.

예를 들어, 상기 가열부(32)는 세정조(20) 일측에 설치되고 세정조(20) 내부로 연장되어 용액(22)에 접촉되는 히터를 포함할 수 있다. 상기 히터는 설정된 제어값에 따라 구동되어 세정조(20) 내의 용액(22)을 설정된 온도로 가열한다. 이와 같이, 가열부(32)를 통해 용액(22)을 가열함으로써, 용액(22)에 의한 오염물질 세정 효율을 보다 높일 수 있게 된다.For example, the heating unit 32 may include a heater which is installed at one side of the cleaning tank 20 and extends into the cleaning tank 20 to contact the solution 22. The heater is driven according to a set control value to heat the solution 22 in the cleaning bath 20 to a predetermined temperature. As described above, by heating the solution 22 through the heating portion 32, it becomes possible to further increase the pollutant cleaning efficiency by the solution 22.

도 1에 도시된 바와 같이, 본 실시예의 세정 장치는 세정조(20) 내에 수용된 용액(22)에 유체 흐름을 형성함으로써, 세정시 소재(100) 표면에서 발생된 파티클을 소재 표면으로부터 용이하게 제거할 수 있다.1, the cleaning apparatus of the present embodiment forms a fluid flow in the solution 22 contained in the cleaning tank 20, so that particles generated on the surface of the work 100 during cleaning can be easily removed from the surface of the workpiece can do.

이를 위해, 본 실시예의 세정장치는 상기 세정조(20) 내에 유체 흐름을 형성하여 레이저 세정시 소재 표면에 형성된 파티클을 제거하는 제거부를 더 포함한다.To this end, the cleaning apparatus of the present embodiment further includes a removal unit that forms a fluid flow in the cleaning tank 20 to remove particles formed on the surface of the workpiece during laser cleaning.

상기 제거부는 세정조(20) 일측으로 연결되어 세정조(20) 내부로 용액(22)을 공급하는 공급관(40)과, 상기 공급관에 연결되어 용액(22)을 공급하는 용액공급부(42)를 포함할 수 있다.The removing unit includes a supply pipe 40 connected to one side of the cleaning tank 20 to supply the solution 22 into the cleaning tank 20 and a solution supply unit 42 connected to the supply pipe for supplying the solution 22 .

또한, 상기 제거부는 세정조(20)에 연결되어 세정조(20) 내부의 용액(22)을 배출하는 배출관(44)과, 상기 배출관에 연결되어 용액(22)을 배출시키는 흡입펌프(46)를 더 포함할 수 있다. The removal unit is connected to the cleaning tank 20 and includes a discharge pipe 44 for discharging the solution 22 in the cleaning tank 20 and a suction pump 46 connected to the discharge pipe for discharging the solution 22. [ As shown in FIG.

상기 용액공급부(42)에 의한 용액(22) 공급량과 흡입펌프(46)에 의한 용액(22) 배출량은 동일할 수 있다. 이에, 용액(22)은 세정조(20) 내에 계속 일정한 양으로 수용된다.The amount of the solution 22 supplied by the solution supply part 42 and the amount of the solution 22 discharged by the suction pump 46 may be the same. Thus, the solution 22 is continuously contained in the cleaning bath 20 in a constant amount.

도 1에 도시된 바와 같이, 상기 공급관(40)은 세정조(20)의 상부로 연장되어 용액(22)을 세정조(20) 내부로 공급한다. 상기 배출관(44)은 공급관(40)에 대해 반대쪽 대향되는 위치에서 세정조(20)의 하단에 설치될 수 있다. 이에, 공급관(40)을 통해 세정조(20) 내부로 용액(22)이 공급되고, 세정조(20) 내부에 수용된 용액(22)은 공급관의 반대쪽에서 배출관(44)을 통해 배출된다. 1, the supply pipe 40 extends to an upper portion of the cleaning tank 20 to supply the solution 22 into the cleaning tank 20. As shown in FIG. The discharge pipe 44 may be installed at the lower end of the washing tub 20 at a position opposite to the supply pipe 40. The solution 22 is supplied into the cleaning tank 20 through the supply pipe 40 and the solution 22 contained in the cleaning tank 20 is discharged through the discharge pipe 44 on the opposite side of the supply pipe.

이에, 세정조(20) 내부에서는 공급관(40)을 통해 공급된 용액(22)이 배출관(44)을 통해 배출되면서 공급관에서 배출관을 향해 유체의 흐름이 형성된다. 이 유체의 흐름에 의해 소재(100) 표면의 파티클을 용이하게 제거할 수 있게 된다.Thus, in the cleaning tank 20, the solution 22 supplied through the supply pipe 40 is discharged through the discharge pipe 44, and a flow of the fluid from the supply pipe to the discharge pipe is formed. The particles on the surface of the work 100 can be easily removed by the flow of the fluid.

즉, 상기한 용액(22)의 흐름 내에 소재가 배치되므로, 소재(100) 표면에서 발생된 파티클은 유체의 흐름을 따라 소재 표면에서 이탈되어 떨어져 나가게 된다. 소재 표면에서 제거된 파티클은 용액(22)의 흐름을 따라 이동되어 용액(22)과 함께 배출관(44)을 통해 외부로 배출된다.That is, since the material is disposed in the flow of the solution 22, the particles generated on the surface of the material 100 are separated from the material surface along the flow of the fluid. Particles removed from the workpiece surface are moved along the flow of the solution 22 and discharged together with the solution 22 through the discharge pipe 44 to the outside.

따라서, 레이저 세정과정에서 발생된 스패터 등의 파티클을 효과적으로 제거할 수 있게 된다.Therefore, it is possible to effectively remove particles such as spatter generated in the laser cleaning process.

본 실시예에서, 상기 레이저조사부(10)는 용액(22)을 통해 세정조(20) 내에 놓여진 소재(100) 표면에 직접 레이저를 조사하여 소재 표면의 오염물질을 세정한다.In this embodiment, the laser irradiation unit 10 directly irradiates the surface of the work 100 placed in the cleaning tank 20 through the solution 22 to clean the contaminants on the surface of the work.

상기 레이저조사부(10)는 펄스레이저를 출력하기 위한 장치로, 이로부터 조사되는 레이저는 피코초 이하의 펄스 즉, 피코초, 팸토초 또는 아토초 등의 초단 펄스를 가질 수 있다.The laser irradiating unit 10 is a device for outputting a pulsed laser, and the laser irradiated therefrom can have a pulse of less than a picosecond, that is, a short pulse such as picosecond, femtosecond, or atochord.

이와 같이, 본 실시예는 피코초, 펨토초 또는 아토초와 같이 피코초 이하의 초단 펄스의 집속된 에너지를 갖는 레이저를 소재의 표면 오염물질에 바로 조사하여 소재로부터 오염물질을 제거하게 된다.As described above, the present embodiment directly irradiates a surface-contaminating material of a laser having a focused energy of a picosecond or less picosecond such as picosecond, femtosecond, or atoso to remove contaminants from the material.

상기 레이저조사부(10)는 다양한 종류의 펄스레이저, 예를 들어 고체레이저, 액체레이저, 기체레이저 또는 반도체레이저를 모드잠금(mode-locking)하고 큐스위칭(Q-switching)하여 펄스 폭을 줄이고 출력을 높여 피코파 이하의 초단 펄스를 갖는 레이저를 생성하는 구조일 수 있다. The laser irradiating unit 10 may mode-lock and Q-switch various kinds of pulsed lasers, for example, solid lasers, liquid lasers, gas lasers or semiconductor lasers to reduce the pulse width and output So as to generate a laser having an ultra-short pulse of not more than a picofarameter.

고체레이저, 액체레이저, 기체레이저, 반도체레이저 등의 펄스레이저는 큐스위칭(Q-Switching)을 이용한 에너지 펌핑 작용을 통해 수W의 펄스 에너지에서 수 kW수준까지 광 펌핑되며, 모드잠금(mode locking)을 통하여 원하는 주파수를 가진 피코초 이하의 펄스 레이저로 생성된다.Pulsed lasers, such as solid-state lasers, liquid lasers, gas lasers, semiconductor lasers, etc., are optically pumped from a pulse energy of several W to several kW through energy pumping using Q-switching, Lt; RTI ID = 0.0 > picosecond < / RTI > with a desired frequency.

본 실시예에서 상기 레이저조사부(10)로부터 생성되어 소재에 조사되는 레이저의 피크(peak)에너지는 0.1 ~ 125μJ일 수 있다. 상기 피크에너지는 예를 들어, 주파수가 0.1MHz~2MHz이고 에너지가 1~50W인 레이저를 사용하여 얻어질 수 있다.In this embodiment, the peak energy of the laser generated from the laser irradiation unit 10 and irradiated to the workpiece may be 0.1 to 125 μJ. The peak energy can be obtained, for example, using a laser having a frequency of 0.1 MHz to 2 MHz and an energy of 1 to 50 W. [

대부분의 물질의 변형에너지는 0.1~2μJ이므로, 본 실시예에서 소재로 입사되는 레이저의 피크 에너지는 대부분의 유기, 무기물질을 제거하는 임계 에너지를 넘어서게 된다. 본 실시예의 레이저 피크 에너지가 상기 범위보다 낮은 경우에는 소재에 대한 세정 효과가 떨어지고, 상기 범위를 넘는 경우 소재 자체에 손상을 일으킬 수 있다.Since the strain energy of most of the materials is 0.1 to 2 μJ, the peak energy of the laser incident on the material in this embodiment exceeds the critical energy for removing most organic and inorganic substances. When the laser peak energy of this embodiment is lower than the above range, the cleaning effect on the workpiece is deteriorated, and if it exceeds the above range, the workpiece itself may be damaged.

이와 같이 레이저조사부(10)로부터 생성된 피코초 이하 펄스를 갖는 레이저는 소재의 표면에 존재하는 유기물질이나 무기물질의 표면에 극초단시간의 높은 에너지로 입사하여 제거하고자 하는 유기물질 또는 무기물질의 표면에 국부적인 열을 가하게 된다. 이에, 레이저조사부(10)로부터 조사된 레이저에 의해 국소 부위가 열변형되어 깨지면서 소재 표면에 부착되어 있던 오염물질이 제거된다. As described above, the laser having the sub-picosecond pulse generated from the laser irradiating unit 10 is irradiated onto the surface of the organic material or the inorganic substance, To apply heat locally. Thus, the contaminants attached to the surface of the workpiece are removed while the localized portion is thermally deformed and broken by the laser irradiated from the laser irradiation unit 10.

그리고, 레이저조사부(10)로부터 생성된 비연속적 펄스를 가지는 피코초 이하의 극초단파 레이저는 소재 표면에 열을 거의 전달하지 않는다. 레이저의 극초단파 펄스와 집속된 에너지를 가진 입자는 에너지를 국부적인 부위에 전달함으로써 소재 표면에 대해 열 발생을 최소화하여, 소재의 변형은 일어나지 않는다. 이러한 원리로 인하여 소재의 사용에 제한이 없으며 소재의 변형없이 오염물질만의 제거가 가능하다. 또한, 언급한 바와 같이, 세정조 내에 수용된 용액이 소재 표면에 발생된 열을 신속하게 제거함으로써, 소재의 변형을 더욱 방지할 수 있게 된다.A microwave laser having a discontinuous pulse generated from the laser irradiation unit 10 or below does not transmit heat to the surface of the workpiece. Particles with laser microwave pulses and focused energy transfer energy to localized sites, minimizing heat generation to the surface of the material, so that material deformation does not occur. Due to this principle, there is no restriction on the use of the material, and only the contaminant can be removed without deforming the material. In addition, as mentioned above, the solution contained in the cleaning tank quickly removes the heat generated on the surface of the workpiece, thereby further preventing deformation of the workpiece.

본 실시예에 사용되는 레이저의 파장은 IR, 가시광선, 자외선 파장 등에 대하여 광범위하게 사용가능하다. 즉 파장이 1064nm 이상에서 300nm 파장에 해당하는 자외선 영역 등에 대하여 펄스파 레이저로 사용이 가능하다. 파장의 영역은 재료의 에너지 흡수 계수와 상호관계가 있으나, 본 실시예에서는 파장과 무관하게 피코초 이하의 극초단파 레이저 펄스에 의하여, 높은 입사에너지와 극초단파 펄스 유지 시간 등을 통해 세정이 이루어지게 된다. 이에 본 실시예는 상기 파장 범위와 같이 길게는 적외선 영역에서 짧게는 자외선 영역의 파장을 갖는 레이저를 모두 사용가능하다. 예를 들어, 본 실시예의 경우, 1064nm Nd:YVO4 레이저를 사용하고, Harmonic Coupling 기술을 이용하여 파장을 536nm, 354nm등으로 파장을 변화시켜 사용이 가능하다.The wavelength of the laser used in this embodiment can be widely used for IR, visible light, ultraviolet wavelength, and the like. In other words, it can be used as a pulsed laser for an ultraviolet region having a wavelength of 1064 nm or more and a wavelength of 300 nm. The wavelength region is correlated with the energy absorption coefficient of the material. However, in this embodiment, the microwave laser pulse having a picosecond or less irrespective of the wavelength is used for cleaning through the high incident energy and the microwave pulse retention time. Therefore, in this embodiment, all of the lasers having a wavelength in the ultraviolet region and a laser beam in the infrared region can be used as long as the wavelength range. For example, in this embodiment, a 1064 nm Nd: YVO4 laser can be used and the wavelength can be changed to 536 nm or 354 nm by using a harmonic coupling technique.

또한, 상기 레이저조사부(10)는 소재 표면에 대해 레이저의 조사각도를 크게 할 수 있다. 본 실시예에서 상기 레이저조사부(10)는 소재 표면에 바로 조사되는 레이저를 소재 표면에 대해 30 ~ 90도의 각도로 조사할 수 있다. 레이저 조사 각도가 30도를 넘는 경우 소재에 가해지는 레이저의 에너지가 커지게 되나, 본 실시예의 경우 피코초 이하의 펄스를 갖는 레이저가 소재에 조사됨에 따라 소재에 대한 레이저 조사각도가 커지더라도 소재의 표면으로는 열을 전달하지 않고 오로지 소재 표면에 코팅된 오염물질만을 제거할 수 있게 된다.Further, the laser irradiation unit 10 can increase the irradiation angle of the laser with respect to the work surface. In this embodiment, the laser irradiation unit 10 can irradiate a laser beam directly irradiated on the surface of the workpiece to an angle of 30 to 90 degrees with respect to the workpiece surface. When the laser irradiation angle exceeds 30 degrees, the energy of the laser applied to the material increases. In this embodiment, however, even if the laser irradiation angle of the material increases as the laser having the pulse of picosecond or less is irradiated to the material, Only the contaminants coated on the surface of the material can be removed without transferring heat to the surface.

이와 같이, 소재 표면에 대한 레이저 조사 각도를 30도를 넘어 직각으로 조사함으로써, 레이저의 에너지를 소재에 온전히 전달할 수 있어 오염물질 제거 효율을 높이면서도 소재 표면의 손상은 방지할 수 있게 된다.By irradiating the surface of the workpiece with a laser beam at a right angle exceeding 30 degrees, the energy of the laser can be completely transferred to the workpiece, thereby enhancing the efficiency of removing contaminants and preventing damage to the workpiece surface.

또한, 소재에 대한 레이저의 조사각도가 30도 이하로 낮아질수록 소재에 가해지는 에너지가 조사면 전체에 걸쳐 균일하지 못하고 에너지 불균형이 일어난다. 본 실시예의 경우, 레이저의 조사각도가 30도 이상으로 커 소재에 균일하게 에너지를 입사할 수 있게 된다.Also, as the irradiation angle of the laser to the workpiece is lowered to 30 degrees or less, the energy applied to the workpiece is not uniform over the entire irradiation surface, and energy unbalance occurs. In this embodiment, the irradiation angle of the laser is as high as 30 degrees or more, so that energy can be uniformly incident on the material.

소재에 바로 입사된 피코초 이하 펄스 레이저에 있어서, 극초단파 에너지에 의하여 표면에 국부적인 에너지 입사 후 원자와 원자간, 전자와 이온간, 이온상호간 에너지 전달에 의한 열에너지 증가 및 용융 변형 등은 일어나지 않는다. 따라서, 상기와 같이 레이저를 소재에 직각으로 조사하여도 극초단 펄스 파장에 의하여 국부적인 열응력 발생 후 열전달이 없어 소재의 변형이나 원하지 않는 용융은 발생하지 않는다.In the sub-picosecond pulsed laser directly incident on the material, there is no increase in thermal energy and melt deformation due to energy transfer between the atom and the atom, between the electron and the ion, and between the ions after local energy enters the surface due to microwave energy. Therefore, even when the laser is irradiated at right angles to the material as described above, there is no heat transfer after generation of local thermal stress due to the ultra-short pulse wavelength, so that deformation or unwanted melting of the material does not occur.

이에 비하여 다른 연속적인 파장을 가지는 레이저 (Continuous Wave, CW 레이저)의 경우, 레이저 에너지의 입사되는 시간이 약 0.2 마이크로초 이상으로써, 본 실시예의 피코초 이하의 펄스초와는 비교가 되지 않을 정도의 긴 시간동안 물질에 에너지가 입사되므로 열변형이나 열에 의한 손상이 발생하게 된다. 따라서, 연속파형 레이저는 긴 시간의 에너지 입사를 이용하여 표면에 에너지를 전달하는 방식으로써 열에너지를 함께 전달하여 소재에 손상을 초래한다.In contrast, in the case of a continuous wave (CW laser) having other continuous wavelengths, the time of incidence of the laser energy is about 0.2 microseconds or more, which is comparable to the pulse seconds of the picosecond or less of this embodiment Energy is injected into the material for a long time, which causes thermal deformation or heat damage. Therefore, the continuous wave type laser transmits energy to the surface using energy injection for a long period of time, thereby transferring heat energy together, thereby causing damage to the material.

이하, 본 실시예의 오염물질 제거 과정을 살펴보면 다음과 같다.Hereinafter, the pollutant removal process of the present embodiment will be described.

먼저, 세정조(20) 내에 용액(22)을 채우고 용액(22) 내에 피세정 대상물인 소재를 위치시킨다. 소재 세정을 위한 준비가 완료되면, 소재(100) 표면에 대한 오염물질 제거 공정이 진행되어, 레이저조사부(10)로부터 소재 표면에 레이저가 조사된다. 레이저조사부(10)로부터 피코초 이하의 초단 펄스를 갖는 레이저가 생성되고, 이렇게 생성된 레이저는 세정조(20) 내의 용액(22)을 투과하여 소재(100) 표면에 조사된다. 이에, 레이저는 오염물질이 묻은 소재 표면에 국부적으로 조사되고, 오염물질에 초단 펄스의 피크 에너지을 가하여 오염물질을 제거하게 된다.First, the solution 22 is filled in the cleaning tank 20 and the material to be cleaned is placed in the solution 22. [ When preparation for the material cleaning is completed, a contaminant removing process is performed on the surface of the material 100, and the laser is irradiated to the material surface from the laser irradiation unit 10. A laser beam having a short pulse of a picosecond or less is generated from the laser irradiation unit 10 and the generated laser beam is transmitted through the solution 22 in the cleaning tank 20 and irradiated onto the surface of the workpiece 100. Thus, the laser is locally irradiated on the surface of the contaminated material, and the peak energy of the pulses is applied to the pollutant to remove contaminants.

소재(100) 표면에 레이저가 조사되면서 오염물질이 제거되고, 소재 표면을 따라 레이저 조사영역을 이동시킴으로써, 소재 표면 전체에 걸쳐 오염물질을 제거할 수 있다. 이 과정에서, 세정조(20)의 용액(22)을 통해 소재에 초음파 에너지 또는 열에너지를 가할 수 있다.The contaminants are removed while the laser beam is irradiated on the surface of the material 100 and the laser irradiation area is moved along the surface of the material to remove contaminants from the surface of the material. In this process, ultrasound energy or heat energy can be applied to the material through the solution 22 of the cleaning tank 20. [

레이저에 의한 세정과 더불어 용액(22)을 통해 소재 표면에 초음파 에너지나 열에너지가 추가로 가해짐에 따라 소재 표면의 오염물질 제거 효율을 보다 높일 수 있게 된다.Ultrasonic energy or heat energy is additionally applied to the surface of the material through the solution 22 in addition to the cleaning with the laser, so that the contaminant removal efficiency of the surface of the material can be further increased.

또한, 상기한 세정 과정에서, 세정조(20) 내에 유체 흐름을 형성하여 소재 표면에 생성된 파티클을 제거한다.In addition, in the cleaning process described above, a fluid flow is formed in the cleaning tank 20 to remove particles generated on the surface of the material.

세정 과정에서, 세정조(20) 내부로 용액(22)을 연속적으로 공급하고 세정조(20)로부터 용액을 연속적으로 배출함으로써, 세정조(20) 내에 일 방향으로 용액의 유체 흐름이 형성된다. 이러한 용액(22)의 흐름에 의해 소재(100) 표면에서 발생된 파티클이 소재에서 분리되어 제거된다.In the cleaning process, a fluid flow of the solution in one direction is formed in the cleaning bath 20 by continuously supplying the solution 22 into the cleaning bath 20 and continuously discharging the solution from the cleaning bath 20. By the flow of the solution 22, the particles generated on the surface of the work 100 are separated and removed from the work.

이상 설명한 바와 같이 본 발명의 예시적인 실시예가 도시되어 설명되었지만, 다양한 변형과 다른 실시예가 본 분야의 숙련된 기술자들에 의해 행해질 수 있을 것이다. 이러한 변형과 다른 실시예들은 첨부된 청구범위에 모두 고려되고 포함되어, 본 발명의 진정한 취지 및 범위를 벗어나지 않는다 할 것이다.While the illustrative embodiments of the present invention have been shown and described, various modifications and alternative embodiments may be made by those skilled in the art. Such variations and other embodiments will be considered and included in the appended claims, all without departing from the true spirit and scope of the invention.

10 : 레이저조사부 20 : 세정조
22 : 용액 30 : 초음파발생부
32 : 가열부 40 : 공급관
42 : 용액공급부 44 : 배출관
46 : 흡입펌프
10: laser irradiation unit 20: cleaning bath
22: Solution 30: Ultrasonic wave generator
32: heating section 40: supply pipe
42: solution supply part 44: discharge pipe
46: Suction pump

Claims (15)

소재의 표면에 형성된 금속 또는 금속반응 생성물을 포함하는 오염물질을 제거하기 위한 소재 표면 세정 장치로,
오염물질이 묻은 소재의 표면에 국부적으로 피코초 이하의 초단 펄스를 가지는 레이저를 조사하여 소재 표면에 초단 펄스의 피크 에너지를 가해 오염물질을 세정하는 레이저조사부, 내부에 용액이 채워지고 용액 내에 소재가 놓여지도록 된 세정조, 및 상기 세정조에 연결되어 세정조 내에 놓여진 소재에 오염물질 제거를 위한 보조 에너지를 가하는 보조세정부를 포함하는 소재 표면 세정 장치.
A material surface cleaning apparatus for removing contaminants including a metal or metal reaction product formed on a surface of a material,
A laser irradiating part for irradiating a surface of a contaminated material with a laser having an ultrasound pulse of a picosecond or less locally and applying a peak energy of a short pulse to the surface of the material to clean the contaminant; And an auxiliary cleaning unit connected to the cleaning tank to apply auxiliary energy for removing contaminants to the material placed in the cleaning tank.
제 1 항에 있어서,
상기 보조세정부는 상기 소재에 초음파를 가하여 소재에 묻은 오염물질을 제거하는 초음파발생부를 포함하는 소재 표면 세정 장치.
The method according to claim 1,
Wherein the auxiliary cleaning part includes an ultrasonic generator for removing contaminants from the material by applying ultrasonic waves to the material.
제 1 항에 있어서,
상기 보조세정부는 상기 세정조에 설치되고 세정조 내의 용액을 가열하는 가열부를 포함하는 소재 표면 세정 장치.
The method according to claim 1,
And the auxiliary cleaning part includes a heating part installed in the cleaning bath and heating the solution in the cleaning bath.
제 1 항에 있어서,
상기 세정조 내에 수용되는 용액은 물 또는 세정액인 소재 표면 세정 장치.
The method according to claim 1,
Wherein the solution contained in the cleaning tank is water or a cleaning liquid.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 세정조 내에 유체 흐름을 형성하여 레이저 세정시 소재 표면에 형성된 파티클을 제거하는 제거부를 더 포함하는 소재 표면 세정 장치.
5. The method according to any one of claims 1 to 4,
Further comprising a removing unit configured to form a fluid flow in the cleaning tank to remove particles formed on the surface of the workpiece during laser cleaning.
제 5 항에 있어서,
상기 제거부는 세정조 일측으로 연결되어 세정조 내부로 용액을 공급하는 공급관과, 상기 공급관에 연결되어 용액을 공급하는 용액공급부를 포함하는 소재 표면 세정 장치.
6. The method of claim 5,
Wherein the removal unit includes a supply pipe connected to one side of the cleaning bath and supplying the solution into the cleaning bath, and a solution supply unit connected to the supply pipe to supply the solution.
제 6 항에 있어서,
상기 제거부는 세정조에 연결되어 세정조 내부의 용액을 배출하는 배출관과, 상기 배출관에 연결되어 용액을 배출시키는 흡입펌프를 더 포함하는 소재 표면 세정 장치.
The method according to claim 6,
Wherein the removal unit further comprises a discharge pipe connected to the cleaning tank to discharge the solution in the cleaning bath, and a suction pump connected to the discharge pipe to discharge the solution.
제 5 항에 있어서,
상기 레이저조사부는 고체레이저, 액체레이저, 기체레이저 또는 반도체레이저를 모드잠금(mode-locking)하고 큐스위칭(Q-switching)하여 펄스 폭을 줄이고 출력을 높여 피코초 이하의 초단 펄스를 갖는 레이저를 생성하는 구조의 소재 표면 세정 장치.
6. The method of claim 5,
The laser irradiating unit generates a laser having a short pulse of less than a picosecond by reducing the pulse width by mode-locking and Q-switching a solid laser, a liquid laser, a gas laser or a semiconductor laser A material surface cleaning apparatus having a structure.
제 8 항에 있어서,
상기 레이저는 팸토초 또는 아토초 펄스의 레이저인 소재 표면 세정 장치.
9. The method of claim 8,
Wherein the laser is a laser of a Fomtocho or Atochon pulse.
제 9 항에 있어서,
상기 레이저의 피크 에너지는 0.1 ~ 125μJ인 소재 표면 세정 장치.
10. The method of claim 9,
Wherein the peak energy of the laser is 0.1 to 125 mu J.
소재의 표면에 형성된 금속 또는 금속반응 생성물을 포함하는 오염물질을 제거하기 위한 소재 표면 세정 방법으로,
용액이 채워진 세정조 내에 소재를 담궈 준비하는 단계, 피코초 이하의 초단 펄스를 가지는 레이저를 생성하는 단계, 용액을 통해 오염물질이 묻은 소재의 표면에 국부적으로 레이저를 조사하여 초단 펄스의 피크 에너지를 가하여 오염물질을 제거하는 단계, 및 소재에 오염물질 세정을 위한 보조 에너지를 가하는 단계를 포함하는 소재 표면 세정 방법.
A method of cleaning a surface of a workpiece for removing a contaminant containing a metal or a metal reaction product formed on a surface of the workpiece,
A step of preparing a laser beam having a pulse of a sub-picosecond or less, a step of locally irradiating a surface of a contaminated material through a solution to irradiate a peak energy of a short pulse And removing the contaminants, and applying auxiliary energy for cleaning the contaminants to the workpiece.
제 11 항에 있어서,
상기 보조 에너지를 가하는 단계는 상기 소재에 초음파를 가하는 단계를 포함하는 소재 표면 세정 방법.
12. The method of claim 11,
Wherein applying the auxiliary energy comprises applying ultrasonic waves to the workpiece.
제 11 항에 있어서,
상기 보조 에너지를 가하는 단계는 상기 세정조 내의 용액을 가열하는 단계를 포함하는 소재 표면 세정 방법.
12. The method of claim 11,
Wherein applying the auxiliary energy comprises heating the solution in the cleaning bath.
제 11 항 내지 제 13 항 중 어느 한 항에 있어서,
상기 세정 방법은 오염물질 제거시 발생된 파티클을 제거하는 단계를 더 포함하는 소재 표면 세정 방법.
14. The method according to any one of claims 11 to 13,
Wherein the cleaning method further comprises removing particles generated upon removal of the contaminants.
제 14 항에 있어서,
상기 파티클 제거 단계는 세정조 내부로 용액을 공급하는 단계, 및 세정조에서 용액을 배출하는 단계를 포함하여, 세정조 내에 유체 흐름을 형성하여 파티클을 제거하는 구조의 소재 표면 세정 방법.
15. The method of claim 14,
Wherein the particle removing step includes a step of supplying a solution into the cleaning bath and a step of discharging the solution in the cleaning bath to form a fluid flow in the cleaning bath to remove the particles.
KR1020150169184A 2015-11-30 2015-11-30 Device and method for cleaning surface of material KR20170063149A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020150169184A KR20170063149A (en) 2015-11-30 2015-11-30 Device and method for cleaning surface of material

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150169184A KR20170063149A (en) 2015-11-30 2015-11-30 Device and method for cleaning surface of material

Publications (1)

Publication Number Publication Date
KR20170063149A true KR20170063149A (en) 2017-06-08

Family

ID=59221574

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150169184A KR20170063149A (en) 2015-11-30 2015-11-30 Device and method for cleaning surface of material

Country Status (1)

Country Link
KR (1) KR20170063149A (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210063973A (en) * 2019-11-25 2021-06-02 주식회사 에이피텍 Apparatus for cleaning and examining metal member, and method for cleaning metal member using the same
WO2022036112A1 (en) * 2020-08-13 2022-02-17 Applied Materials, Inc. Methods for detecting end-points for cleaning processes of aerospace components
US11384648B2 (en) 2018-03-19 2022-07-12 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
US11753726B2 (en) 2018-04-27 2023-09-12 Applied Materials, Inc. Protection of components from corrosion
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11384648B2 (en) 2018-03-19 2022-07-12 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US11560804B2 (en) 2018-03-19 2023-01-24 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US11603767B2 (en) 2018-03-19 2023-03-14 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11753726B2 (en) 2018-04-27 2023-09-12 Applied Materials, Inc. Protection of components from corrosion
US11761094B2 (en) 2018-04-27 2023-09-19 Applied Materials, Inc. Protection of components from corrosion
US11753727B2 (en) 2018-04-27 2023-09-12 Applied Materials, Inc. Protection of components from corrosion
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
KR20210063973A (en) * 2019-11-25 2021-06-02 주식회사 에이피텍 Apparatus for cleaning and examining metal member, and method for cleaning metal member using the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
WO2022036112A1 (en) * 2020-08-13 2022-02-17 Applied Materials, Inc. Methods for detecting end-points for cleaning processes of aerospace components

Similar Documents

Publication Publication Date Title
KR20170063149A (en) Device and method for cleaning surface of material
US20070000875A1 (en) Method and apparatus for assisting laser material processing
US9352355B1 (en) Particle-plasma ablation process
US6291796B1 (en) Apparatus for CFC-free laser surface cleaning
ZA200807439B (en) Device and method for the treatment and/or decontamination of surfaces
US20170159164A1 (en) Surface coating treatment
US20090258159A1 (en) Novel treatment for mask surface chemical reduction
KR101782608B1 (en) Device and method for cleaning surface of material
US6627846B1 (en) Laser-driven cleaning using reactive gases
Karimzadeh et al. Nanosecond pulsed laser ablation of silicon in liquids
KR102476687B1 (en) Apparatus and method for cleaning surface of material
Litchfield et al. Surface cleaning technologies for the removal of crosslinked epoxide resin
Jiao et al. The effect of assist liquid on the hole taper improvement in femtosecond laser percussion drilling
KR101766854B1 (en) Apparatus and method for cleaning inner surface of chamber
JP2022546224A (en) Modules for chemical processing of substrates
KR102460434B1 (en) Potable device for cleaning surface of material
KR20170128198A (en) Device and method for cleaning surface of material
CN218926554U (en) Non-contact processing device
KR102460435B1 (en) Device and method for cleaning surface of material
KR20170063080A (en) Device and method for cleaning surface of material
US6123803A (en) Laser processing chamber with cassette cell
KR102460433B1 (en) Apparatus for cleaning part of deposition device
WO2012010740A1 (en) Method for removing an oxidation from the surface of a metal object
Sfregola et al. Influence of working parameters on multi-shot femtosecond laser surface ablation of lithium niobate
JP2008042017A (en) Resist peeling and removing method capable of recovering resist and semiconductor manufacturing device using it

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E601 Decision to refuse application