KR20060113959A - 질화규소의 열화학기상증착 - Google Patents

질화규소의 열화학기상증착 Download PDF

Info

Publication number
KR20060113959A
KR20060113959A KR1020067012303A KR20067012303A KR20060113959A KR 20060113959 A KR20060113959 A KR 20060113959A KR 1020067012303 A KR1020067012303 A KR 1020067012303A KR 20067012303 A KR20067012303 A KR 20067012303A KR 20060113959 A KR20060113959 A KR 20060113959A
Authority
KR
South Korea
Prior art keywords
substrate
silicon
nitrogen
depositing
containing layer
Prior art date
Application number
KR1020067012303A
Other languages
English (en)
Other versions
KR101254115B1 (ko
Inventor
알. 서야나라야난 이어
신 엠. 시우터
자콥 더블유. 스미쓰
그레고리 더블유. 디벨로
알렉산더 탐
빈 트란
산지브 탄돈
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060113959A publication Critical patent/KR20060113959A/ko
Application granted granted Critical
Publication of KR101254115B1 publication Critical patent/KR101254115B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

처리 영역, 기판 지지부, 가스 전달 시스템, 가스 혼합 영역, 면판에 고정된 어댑터 링을 원하는 온도로 가열하도록 위치된 가열 소자, 및 온도 제어된 배기 시스템을 포함하는 장치가 개시된다. 또한, 비스(테시어리-부틸아미노)실란을 기화시키는 단계, 처리 챔버로 비스(테시어리-부틸아미노)실란과 암모니아를 유동시키는 단계, 어댑터 링과 둘 이상의 차단 플레이트에 의해 형성된 추가의 혼합 영역을 갖는 두 가지 반응물질을 결합시키는 단계, 어댑터 링을 가열하는 단계, 및 가스 분배 플레이트를 통하여 처리 영역으로 비스(테시어리-부틸아미노)실란을 유동시키는 단계를 포함하는 방법 및 장치가 개시된다.

Description

질화규소의 열화학기상증착{THERMAL CHEMICAL VAPOR DEPOSITION OF SILICON NITRIDE}
본 발명의 실시예는 대체로 기판 처리에 관한 것이다. 보다 상세하게, 본 발명은 화학기상증착 챔버 및 처리과정에 관한 것이다.
화학기상증착(CVD)된 박막은 집적 회로 내에 재료층을 형성하는데 사용된다. 열 CVD 박막은 절연체, 확산 소스, 확산 및 주입 마스크, 스페이서, 그리고 최종 패시베이션 층으로서 사용된다. 종종 열 CVD 박막은 챔버 내에 증착되는데, 챔버는 특정한 열 및 물질 전달 특성으로 인하여 기판과 같이 복잡한 회로 캐리어의 표면에 걸쳐 물리적 및 화학적으로 균일한 박막의 증착을 최적화하도록 설계된다. 종종 챔버는 더 큰 통합도구의 일부이며, 기판 표면상에 복수의 소자들을 제조한다. 챔버는 한번에 하나의 기판을 처리하거나 복수의 기판들을 처리하도록 설계된다.
소자의 외형이 축소되어 회로를 더 빨리 집적할 수 있음에 따라, 높은 생산성, 새로운 박막 특성 및 적은 이물질에 대해 증가하는 요구를 만족시키면서 증착된 박막의 열 예산(thermal budgets)을 경감시키는 것이 바람직하다. 역사적으로 열 CVD는 몇 시간에 걸쳐 저압 상태로 증착이 일어나는 배치로(batch furnace) 내 에서 700℃ 또는 그보다 높은 온도로 실시되었다. 저열 예산은 저온 프리커서(low temperautre precursors)의 사용 또는 증착 시간의 감소를 요하는 증착 온도를 낮춤으로써 이룰 수 있다. 열 CVD 처리는 반응률 제어하에서 작동하는 경우, 온도 변화에 민감하고, 또는 물질 전달 제어하에서 작동하는 경우 유동 불균일성에 민감하거나, 반응률 및 물질 전달의 혼합 제어하에서 작동하는 경우 양쪽 모두에 민감하다. 효과적인 챔버 설계는 기판상에 균일한 박막을 증착시킬 수 있도록 온도 변화의 정확한 제어와 유동의 적절한 분배를 요한다. 처리 챔버와 배기(exhaust) 하드웨어 설계는 프리커서와 반응 부산물의 특성을 기초로 검사된다.
본 발명은 단일 웨이퍼 열 CVD 챔버의 기계 설계를 변화시킴으로써 균일한 열 분배, 균일한 처리 화학물질, 효율적인 프리커서 전달, 및 효과적인 잔류물 및 배기 처리를 제공하는 CVD 챔버이다. 본 발명의 개선예는 처리 영역을 형성하는 챔버 본체와 챔버 덮개, 상기 처리 영역 내에 배치된 기판 지지부, 상기 챔버 덮개 상에 장착되고, 가스 혼합 영역을 형성하는 어댑터 링과 두 개의 차단 플레이트, 그리고 상기 어댑터 링에 고정된 면판을 포함하는 가스 전달 시스템, 상기 어댑터 링을 원하는 온도로 가열하도록 위치된 가열 소자, 및 온도 제어된 배기 시스템을 포함하는 처리 챔버를 포함한다.
또한, 본 발명의 개선예는 기판상에 질화규소층 또는 탄소 도핑 또는 탄소 함유 질화규소 층을 증착하는 방법을 포함하며, 이 방법은 비스(테시어리-부틸아미노)실란(BTBAS) 또는 다른 규소 프리커서를 기화시키는 단계, 처리 챔버 내부로 비스(테시어리-부틸아미노)실란을 유동시키는 단계, 처리 챔버 내부로 암모니아 및/또는 다른 질소 프리커서를 유동시키는 단계, 챔버 덮개 내의 혼합기에서 두 반응물을 결합시키는 단계, 어댑터 링과 둘 이상의 차단 플레이트에 의해 형성된 추가의 혼합영역을 구비하는 단계, 상기 어댑터 링을 가열하는 단계, 및 가스 분배 플레이트를 통하여 기판 상부의 처리 영역으로 비스(테시어리-부틸아미노)실란을 유동시키는 단계를 포함한다. 이 개선예는 기판 표면 전체의 결함을 감소시키며 제품 수율을 향상시킨다.
본 발명의 전술한 특징을 상세히 이해할 수 있도록, 상기에 간략히 요약된 본 발명의 보다 상세한 설명은 일부가 첨부도면에 도시되어 있는 실시예를 참조할 수 있다. 그러나 첨부 도면은 본 발명의 통상적인 실시예만을 도시하고 있으므로 본 발명의 범주를 제한하는 것으로 간주되지 않으며, 다른 동등한 효과의 실시예를 인정할 수 있음에 주의한다.
도 1은 가스 분배 조립체 및 기판 지지부 조립체를 포함하는 처리 챔버 일 실시예의 단면도,
도 2는 처리 키트의 다수의 구성요소와 처리 챔버의 분해도,
도 3은 면판 가스 유입구의 도면,
도 4는 슬릿 밸브 라이너의 3차원도,
도 5는 배기 펌핑 플레이트의 3차원도,
도 6은 배기 펌핑 플레이트용 덮개의 3차원도,
도 7은 단일 웨이퍼 열 CVD 처리 챔버를 위한 대안적인 처리 키트와, 처리 가스를 챔버로 전달하기 위한 액체 전달 시스템의 3차원 개략도, 및
도 8은 기판 표면 전체에서 샘플이 수집되는 것을 나타내고 있는 기판의 표면을 도시하는 도면이다.
본 발명의 실시예는 기판상에 층을 증착하는 장치와 기판상에 층을 증착하는 방법을 제공한다. 먼저, 실시예의 예시적인 도면들을 포함하는 하드웨어 논의가 제공된다. 하드웨어 논의 후 처리 변화와 테스트 결과가 설명된다.
도 1은 벽부(106)와 덮개(110)를 구비하는 단일 웨이퍼 CVD 처리 챔버의 단면도이다. 챔버의 벽부는 실질적으로 원통형이다. 벽부 구역은 가열될 수도 있다. 웨이퍼 또는 다른 기판의 유입을 위해 벽부에 슬릿 밸브 개구(114)가 위치된다.
기판 지지부(111)는 기판을 지지하며 챔버에 열을 제공할 수 있다. 기판 지지부 외에도, 챔버의 베이스는 기판 지지부 조립체, 반사 플레이트 또는 열전달을 돕도록 조정된 다른 기구, 챔버 상태를 측정하는 프로브, 배기 조립체, 및 기판을 지지하고 챔버 환경을 조절하는 다른 장비를 포함할 수 있다.
공급 가스는 덮개(110) 내의 혼합기(113)와 제 1 차단 플레이트(104)를 통과한 후 가스 전달 시스템을 통하여 챔버에 유입될 수 있다. 공급 가스는 액체 증기와 가스를 포함할 수 있는 가스이다. 그 후 가스는 제 1 차단 플레이트(104)와 제 2 차단 플레이트(105) 사이에 생성된 혼합 영역(102)을 통하여 이동한다. 제 2 차 단 플레이트(105)는 어댑터 링(103)에 의해 구조적으로 지지된다. 가스가 제 2 차단 플레이트(105) 내의 구멍(미도시)을 통과하고 난 다음, 가스는 면판(108)을 통과하여 유동한 후 챔버 벽부(106), 면판(108) 및 기판 지지부(111)에 의해 형성된 주요 처리영역으로 유입된다. 그 후 가스는 배기 플레이트(109)를 통하여 챔버를 빠져나간다. 덮개(110)는 가스 공급 유입구, 가스 혼합기, 플라즈마원, 및 하나 이상의 가스 분배 조립체를 더 포함할 수 있다. 선택적으로, 챔버는 혼합 영역(102)과 면판(108)을 가열하기 위해 어댑터 링(103)에 열을 제공하도록 가열되는, 덮개(110)와 챔버 벽부(106) 사이의 삽입부(101)를 포함할 수 있다. 도 1에 의해 도시된 다른 하드웨어 선택은 배기 펌핑 플레이트(109)의 상부에 놓인 배기판 덮개(112)이다. 최종적으로, 슬릿 밸브 개구(114)를 통한 열손실을 감소시키도록 슬릿 밸브 라이너(115)가 선택적으로 사용될 수 있다.
도 2는 가스 공급 시스템의 분해도이다. 도 2는 챔버의 처리 영역으로 가스가 들어가기 전에 가스를 가열하고 혼합하기 위해 표면이 가열된 공간을 제공하도록 덮개(110), 복수의 차단 플레이트(104,105), 어댑터 링(103) 및 면판(108)이 어떤 상태로 형성되는가를 도시하고 있다.
도 3은 면판(108)의 도면이다. 면판(108)은 어댑터 링(103)에 의해 지지된다. 면판(108)은 나사에 의해 어댑터 링(103)에 연결되며 챔버의 처리 영역 내부로 가스 유입구를 적절히 분포시키는 구멍들을 갖도록 형성된다.
도 4는 임의의 슬릿 밸브 라이너(115)의 3차원도이다. 슬릿 밸브 라이너(115)는 슬릿 밸브 개구(114)를 통과하는 열손실을 감소시킨다.
도 5는 챔버의 처리 영역으로부터 배기 유동을 제어하는 배기 플레이트(109)의 3차원 개략도이다. 이 개략도는 슬릿 밸브의 존재로 인해 생성된 챔버 내부의 열전달 왜곡을 보상하기 위해 배기 플레이트가 어떠한 상태로 형성되어 챔버로부터의 왜곡을 조절하는지를 도시하고 있다.
도 6은 배기 플레이트(109)용 배기 플레이트 덮개(112)의 3차원 개략도이다. 이 도면은 챔버 내의 임의의 배기 유동 왜곡을 보상하기 위해 특정한 구멍 양식을 갖도록 덮개가 설계되는 상태를 도시하고 있다.
도 7은 대안적인 실시예의 덮개 조립체 분해도이다. 덮개(209)는 단열 부재(212)에 의해 챔버의 나머지로부터 분리될 수 있다. 단열 부재(212)는 히터 재킷(203)의 상부 및 하부 표면상에 있다. 또한, 히터 재킷(203)은 차단 플레이트(205)와 면판(208)에 연결될 수 있다. 선택적으로, 덮개의 일부 또는 덮개 구성요소는 원하는 온도로 가열될 수 있다.
덮개 조립체는 공급 가스를 예비혼합하는 초기 가스 유입구(213)와, 덮개(209), 단열 부재(212), 히터 재킷(203) 및 차단 플레이트(204,205)에 의해 한정된 공간(202)을 형성하는 부분을 포함한다. 공간(202)은 챔버의 기판 처리부로 유입되기 전에 반응 가스를 혼합하도록 체류시간을 증가시킨다. 히터(210)에 의하여 공간(202)을 형성하는 표면으로 가해질 수 있는 열은 원료, 응축물 및 공간의 표면을 따르는 부산물의 조성을 방지하게 한다. 또한, 가열된 표면은 반응 가스를 예열하여, 가스가 면판(208)을 빠져나와 챔버의 기판 처리부로 유입되면, 보다 우수한 열 및 물질 전달을 촉진할 수 있다.
또한, 도 7은 CVD 챔버에 BTBAS와 같은 아미노-실리콘 화합물 가하기 위한 가스 공급 시스템 구성요소의 도면이다. BTBAS는 벌크 앰플(bulk ampoule; 401) 내에 저장된다. BTBAS는 벌크 앰플(401)로부터 처리 앰플(402)로 유동한다. BTBAS는 액체 유량계(403)로 유동한다. 계량된 BTBAS는 피에조 제어된 직접 액체 인젝터와 같은 증발기(404)로 유동한다. 선택적으로, BTBAS는 가스원(405)으로부터의 질소와 같은 캐리어 가스와 증발기(404) 내에서 혼합될 수 있다. 또한, 캐리어 가스는 증발기에 가해지기 전에 예열될 수 있다. 그 후 최종 가스는 CVD 챔버의 덮개(209) 내의 가스 유입구(213)로 유입된다. 선택적으로, 증발기(404)와 혼합기(113)를 연결하는 배관(piping)이 가열될 수 있다.
도 8은 기판 표면 전체에 걸쳐서 샘플이 수집되었음을 나타내는 기판의 도면이다.
면판(108,208) 아래의 챔버의 처리부 내에서, 면판, 챔버 벽부, 배기 플레이트 및 기판 지지부와 같은 표면에 열을 제공함으로써 열 분배가 제어된다. 또한, 열 분배는 배기 플레이트의 설계, 배기 플레이트 덮개의 선택적 삽입 및 슬릿 밸브의 선택적 삽입에 의해 제어된다. 챔버의 처리부 내의 화학물질 분배는 면판과 배기 플레이트의 설계 및 선택적인 배기 플레이트 덮개에 의해 영향을 받는다. 또한, 플라즈마 세척은 덮개 내의 가스 유입구와 면판 사이에 실질적인 공간이 있을 때와, 면판이 가열될 때 향상된다.
제 2 차단 플레이트(105)와 면판(108)은 가열되어 차단 플레이트의 표면상에 화학물질이 증착되는 것을 방지하고 챔버 내의 가스를 예열하며 덮개에 대한 열손 실을 감소시킨다. 제 2 차단 플레이트와 면판을 덮개에 부착하는 어댑터 링(103)은 제 2 차단 플레이트와 면판을 덮개로부터 열적으로 차단하게 한다. 예를 들면, 덮개는 약 30 내지 70℃의 온도로 유지될 수 있으며, 반면, 제 2 차단 플레이트와 면판은 약 100 내지 350℃의 온도로 유지될 수 있다. 어댑터 링은 열 초크(thermal choke)와 같이 작용하면서 덮개에 대한 열손실을 제한하도록 불균일한 두께로 설계될 수 있다. 덮개로부터의 제 2 차단 플레이트 및 면판의 열 분리는 덮개 표면 전체에 걸쳐 존재할 수 있는 온도 변화로부터 제 2 차단 플레이트와 면판을 보호한다. 따라서, 제 2 차단 플레이트와 면판은 통상적인 챔버보다 덮개를 덜 가열할 것이며, 통상적인 챔버의 면판과 차단 플레이트보다 더 높은 온도에서 유지될 수 있다. 제 2 차단 플레이트와 면판에 의해 제공된 보다 균일한 가스 가열은 챔버 내의 기판상에 박막을 보다 균일하게 증착시킨다. 통상적으로, 제 2 차단 플레이트와 면판은 약 150 내지 300℃ 사이와 같이 약 100 내지 350℃ 또는 그보다 높은 온도로 가열된다. 관찰된 고온의 제 2 차단 플레이트와 면판의 한가지 이점은 챔버 내에서의 높은 박막 증착률이다. 제 2 차단 플레이트와 면판의 높은 온도가 챔버 내에서 프리커서의 분해를 가속함으로써 증착률을 향상시킬 수 있는 것으로 생각된다. 제 2 차단 플레이트와 면판의 높은 온도로 인한 다른 이점은 제 2 차단 플레이트와 면판상에 CVD 반응 부산물의 증착이 감소하는 것이다.
또한, 배기 시스템은 챔버 내부로의 열 및 화학물질 분배에 기여한다. 펌핑 플레이트(109)는 슬릿 밸브에 의해 생성된 열 분배 문제를 보상하기 위해 불균일하게 분포된 개구들을 갖도록 형성될 수 있다. 펌핑 플레이트는 플레이트의 표면상 에 배기 화학물질과 부산물의 증착을 방지하기 위해 기판 지지부 조립체에 의해 챔버의 처리부에 제공된 열을 유지하는 재료로 제조될 수 있다. 펌핑 플레이트는 슬릿 밸브의 방사율 왜곡(emissivity distortion)을 또한 보상하도록 전략적으로 배치된 복수의 슬릿들을 특징으로 한다. 배기 시스템은 챔버 내에 10 내지 350 Torr의 압력을 유지하게 한다. 배기 시스템은 스로틀 밸브와 차단 밸브를 이용하여 압력을 조절한다. 이들 밸브는 부산물과 미사용 가스, 및 잔류물 형성을 방지하도록 원하는 온도로 가열될 수 있다.
기판 지지부 조립체(111)는 균일한 박막 분포를 가능하게 하는 복수의 설계 기구를 갖는다. 기판과 접촉하는 지지부 표면은 기판의 반경을 가로질러 가변적인 열을 분포시키기 위한 복수의 열전달 구역을 특징으로 할 수 있다. 예를 들면, 기판 지지부 조립체는 500 내지 800℃, 예를 들면, 600 내지 700℃의 처리 온도에서 유지될 수 있는 2중 구역 세라믹 히터(dual zone ceramic heater)를 포함할 수 있다. 통상적으로 기판의 온도는 측정된 히터의 온도보다 약 20 내지 30℃ 더 낮다. 지지부는 챔버의 처리부의 내부를 가로질러 열 및 화학물질 가변성을 보상하도록 회전될 수 있다. 지지부는 챔버 내에서의 수평, 수직 또는 회전 운동을 특징으로 하여 챔버 내부에서 수동으로 또는 기계적으로 기판을 중심에 둘 수 있다.
처리 챔버의 표면과 구성요소는 양극 산화된 알루미늄(anodized aluminum)으로 제조될 수 있다. 양극 산화된 알루미늄은 응축 및 고체 재료 증착을 억제한다. 양극 산화된 알루미늄은 다수의 물질들보다 열을 유지하는데 더 우수하여, 재료의 표면이 고온으로 유지되며 그에 따라 응축 또는 생성물질 증착을 억제한다. 또한, 재료는 다수의 통상적인 챔버 표면보다 고체를 증착시키는 화학 반응을 덜 촉진할 것이다. 덮개, 벽부, 스페이서 부분, 차단 플레이트, 면판, 기판 지지부 조립체, 슬릿 밸브, 슬릿 밸브 라이너 및 배기 조립체는 모두 고체 양극 산화된 알루미늄으로 코팅되거나 형성될 수 있다.
희석가스 또는 캐리어 가스는 박막 특성을 맞추기 위한 다른 기구를 제공한다. 질소 또는 헬륨이 개별적으로 또는 공동으로 사용된다. 또한, 수소 또는 아르곤이 사용될 수 있다. 더 무거운 가스가 챔버 내에 열을 분배하게 한다. 더 가벼운 가스는 챔버로 가해지기 전에 프리커서 액체를 증발하게 한다. 또한, 처리 가스의 충분한 희석은 챔버 표면과 배기 시스템 표면에 응축 또는 고체 증착을 방지하게 한다.
반복성 테스트가 실시되었다. 통상적인 챔버 및 여기 설명된 추가적인 및/또는 변형된 구성요소를 특징으로 하는 변형된 챔버에 증착된 박막에 대한 박막층 두께가 비교되었다. 변형된 챔버에서 웨이퍼 균일성의 상당한 개선이 관찰되었다.
여기 설명된 CVD 챔버에 증착될 수 있는 박막의 예시들이 하기에 제공된다. 챔버 내부로의 전체 가스 유량은 200 내지 20,000 sccm일 수 있으며, 통상적인 처리과정은 4,000 sccm의 유량을 가질 수 있다. 박막 조성, 보다 상세하게 질소 대 규소 함유량 비, 굴절률, 습식 식각률, 수소 함유량 및 여기 제시된 임의의 박막의 응력은 복수의 파라미터들을 조정함으로써 수정될 수 있다. 이들 파라미터는 전체 유량, 챔버 내의 간격 및 가열 시간을 포함한다. 시스템의 압력은 10 내지 350 Torr로 조정될 수 있으며, NH3 대 BTBAS의 농도비는 0 내지 100으로 조정될 수 있다.
질화규소 박막(Silicon Nitride Films)
질화규소 박막은 규소 프리커서와 질소 프리커서의 반응에 의해 여기 설명된 챔버 내에 화학기상증착될 수 있다. 사용될 수 있는 규소 프리커서는 디클로로실란(DCS), 헥사클로로디실란(HCD), 비스(테시어리-부틸아미노)실란, 실란(SiH4), 디실란(Si2H6) 및 그 밖의 다수를 포함한다. 사용될 수 있는 질소 프리커서는 암모니아(NH3), 히드라진(N2H4) 및 그 밖의 것을 포함한다. 예를 들면, SiH4와 NH3 화학물질이 사용될 수 있다.
CVD 처리 챔버에서, SiH4는 주로 SiH3, SiH2로 분리되며, SiH로 분리될 수도 있다. NH3는 NH2, NH 및 H2로 분리된다. 이들 중간 생성물은 SiH2NH2 또는 SiH3NH2 또는 가스 경계층을 통해 확산하며 질화규소 박막을 형성하도록 기판 표면에서 또는 기판 표면에 인접하여 반응하는 유사한 아미노-실란 프리커서를 형성하도록 반응한다. 더 고온인 챔버 표면이 챔버로 열을 제공하여 NH2 반응성을 증가시키는것으로 생각된다. 챔버의 덮개 내의 가스 유입구와 제 2 차단 플레이트 사이 공간의 증가된 체적은 공급 가스 잔류 시간을 증가시키며 원하는 아미노-실란 프리커서의 형성 확률을 증가시킨다. 형성된 프리커서의 증가된 양은 패턴 마이크로-로딩 확률(probability of pattern micro-loading), 즉, 기판의 조밀하게 패턴된 영역에서 프리커서의 소모를 감소시킨다.
다른 프리커서의 유량에 비해 NH3 유량을 증가시키는 것이 박막 증착을 향상시킴을 알았다. 예를 들면, 통상적인 시스템은 60 대 1 비율의 NH3 대 SiH4 유량으로 작용할 수 있다. 테스트 결과는 덮개와 제 2 차단 플레이트 사이에 간격이 증가되는 경우, 60 대 1 내지 1000 대 1의 통상적인 비율이 균일한 박막을 제공함을 나타낸다. 또한, 면판과 기판 사이의 750 내지 850 mils의 간격을 이용하는 것이 650 mils로 증착된 박막에 비해 박막 균일성을 향상시킴을 알았다.
탄소가 도핑된 질화규소 박막(Carbon Doped Silicon Nitride Films)
일 실시예에서, BTBAS는 여기 설명된 챔버에 탄소가 도핑된 질화규소 박막을 증착하기 위한 규소 함유 프리커서로서 사용될 수 있다. 다음은 t-부틸아민 부산물을 갖는 탄소가 도핑된 질화규소 박막을 생산하도록 따를 수 있는 하나의 구조식이다. 그 후 BTBAS는 t-부틸아민과 반응하여 이소부틸렌을 형성할 수 있다.
3C8H22N2Si + NH3 → Si3N4 + NH2C4H9
4가지 예시 조건들이 설명된다. 압력, 온도, 간격, 유량 및 다른 조건들이 표 1에 도시되어 있다. 칼럼 1은 다른 예시들과 달리 낮은 BTBAS 농도에서의 일련의 작용 조건들을 나타낸다. 칼럼 2는 낮은 온도와 습식 식각률에서의 작용을 나타낸다. 칼럼 5는 가장 낮은 습식 식각률과 온도를 나타내며, 칼럼 6은 4가지 예시들 중 가장 낮은 패턴 로딩 효과와 가장 높은 증착률의 조합에 대한 작용 파라미터들을 나타낸다. 이 예시들에서, 웨이퍼 가열 온도는 675 내지 700℃이며, 챔버 의 압력은 50 내지 275 Torr였다.
탄소가 도핑된 질화규소 박막을 형성하는 BTBAS 반응은 물질 전달이 제한되지 않고 반응률이 제한될 수 있다. 패터닝된 기판상에 형성된 박막은 패터닝된 기판의 노출된 표면을 균일하게 코팅할 수 있다. BTBAS는, 예를 들면 SiH4와 같이, 통상적인 규소 프리커서보다 더 작은 패턴 로딩 효과(PLE)를 가질 수 있다. 표 1은 동일한 챔버에서 15%보다 큰 SiH4와 NH3 처리에 대한 측벽 PLE에 비하여, BTBAS와 NH3 화학물질에 대한 측벽 PLE가 5% 미만임을 나타낸다. 일부 규소 함유 프리커서에 의해 알게 된 패턴 로딩 효과는, 예를 들면 SiH4 및 NH3와 같이, 이들 프리커서들 사이의 반응에 대한 물질전달 제한에 인한 것으로 생각된다.
표 1. BTBAS 성능 테스트를 위한 작용 조건들
배합명(recipe name) #1 #2 #3 #4
웨이퍼 온도(℃) ~670 ~655 ~660 ~675
히터 온도(℃) 675 675 675 700
압력(Torr) 275 160 80 50
NH3(sccm) 80 80 80 80
BTBAS(grams/min) 0.61 1.2 1.2 1.2
BTBAS(sccm) 78 154 154 154
N2-캐리어 상부(slm) 4 4 4 4
N2-증착-상부(N2-dep-top)(slm) 10 10 6 6
N2-바닥(slm) 10 10 10 10
간격(mils) 700 700 700 700
증착률(A/min) 230 250 170 250
BTBAS 소비량(grams/100A박막) 0.27 0.48 0.71 0.48
습식 식각률 비(%) 25 16 11 12
응력(dynes/sq.cm)-500A박막 1.54 1.54 1.51 1.67
굴절률(RI) 1.865 1.885 1.935 1.985
두께 비균일성 1 시그마(%) <1.5 <1.5 <1.5 <1.5
TEM에 의한 90nm SRAM 칩의 PLE
측벽 PLE(%) 7 9 3 3
바닥 PLE(%) 7 3 3 3
또한, 반응 가스로서 BTBAS를 사용하면 탄소 함유량을 조정할 수 있다. 즉, 압력 및 질소 함유 프리커서 가스 농도와 같은 작용 파라미터를 선택함으로써, 최종 박막의 탄소 함유량이 조절되어 원하는 탄소 함유량과 기판의 직경에 걸쳐 보다 균일한 탄소 농도를 갖는 박막을 생산할 수 있다. BTBAS는 0.05 내지 2.0 g/min의 비율로 시스템에 추가될 수 있으며, 통상적인 시스템은 0.3 내지 0.6 g/min을 이용할 수 있다. 표 2는 세 가지 구성에 대한 유량, 농도 및 최종 박막 특성을 제공한다.
계획된 실험 데이터 분석을 기초로 하는 C 5 내지 6%와 C 12 내지 13% 구성은 예측값이다. C 10.5% 값은 실험 결과이다. VR은 규소 기판용 열원 서셉터로서 사용된 2중 구역 세라믹 히터의 외부 대 내부 구역의 전압비를 나타낸다. RI는 굴절률을 나타낸다. WERR은 참조로 사용되며 열적으로 성장하는 이산화규소 박막의 습식 식각률에 대한 질소 박막의 습식 식각률 비율이다.
표 2. 세 가지 BTBAS 구성과 최종 박막 특성.
C 5 내지 6% (예측값) C 10.5% (실험값) C 12 내지 13% (예측값)
증착률 (Ang/min) 315.4 266.9 399.4
증착 시간 (sec) 136 160 106
타깃 두께 (Ang) 700 700 700
모니터 박막 두께 (Ang) 714.97 711.715 705.545
모니터 N/U 1-시그마 (%) 2.371 1.437 1.492
VR 0.98 0.98 0.98
RI 1.821 1.82 1.817
BTBAS 소비량 (grams/500Ang 박막) 0.897 0.571 0.782
응력 (GPa) - 1.2 -
WERR - 0.5 -
히터 온도 (℃) 675 675 675
챔버 압력 (Torr) 162.5 275 160
BTBAS 유동 (grams/min) 0.566 0.305 0.625
(sccm) 74.2 40 81.9
NH3 유동 (sccm) 300 40 40
N2 캐리어 유동 (slm) 2 2 2
N2 유동 (slm) 1.7 3 2
전체 상부 가스 유동 (slm) ~4 ~5 ~4
N2 바닥 유동 (slm) 3 3 3
간격 (mils) 700 700 700
표 3은 상이한 처리 조건들에 대한 기판 전체의 복수의 지점으로부터 취한 샘플의 요소 합성에 의한 요소를 제공한다. 샘플들의 요소 합성은 핵반응 분석 및 러더포드 후방산란법(Rutherford backscattering spectroscopy)에 의해 측정되었다.
표 3. 기판 표면에 걸친 위치를 기초로 한 원자 합성
NRA/RBS에 의한 300mm BTBAS 박막 합성
위치 SI N H C O
번호 좌표 (%) (%) (%) (%) (%)
1 (0mm. 0°) 31.7% 31.7% 22.2% 12.7% 1.6%
2 (7.5mm. 0°) 31.7% 31.7% 22.2% 12.7% 1.6%
3 (75mm. 90°) 31.7% 31.7% 22.2% 12.7% 1.6%
4 (75mm. 180°) 30.8% 30.8% 21.5% 15.4% 1.5%
5 (75mm. 270°) 31.7% 31.7% 22.2% 12.7% 1.6%
6 (145mm. 45°) 31.7% 31.7% 22.2% 12.7% 1.6%
7 (145mm. 135°) 31.7% 31.7% 22.2% 12.7% 1.6%
8 (145mm. 225°) 31.7% 31.7% 22.2% 12.7% 1.6%
9 (145mm. 315°) 31.7% 31.7% 22.2% 12.7% 1.6%
전체 웨이퍼 평균= 31.6% 31.6% 22.1% 13.0% 1.6%
전체 웨이퍼 표준 편차= 0.326% 0.326% 0.228% 0.895% 0.016%
표 3은 기판 표면에 걸쳐 탄소 함유량 변화가 0.895% 였음을 설명한다. 약 2 내지 18 원자 %의 탄소를 갖는 탄소가 도핑된 질화규소 박막이 여기 설명된 챔버에서 향상된 비율로 증착되었음을 알게 되었다.
규소 함유 프리커서로서 BTBAS를 사용하는 것은 복수의 최종 박막 특성 이점들을 제공한다. 박막의 탄소 함유량이 증가하면 도펀트 보유(dopant retention) 및 접합 프로파일(junction profile)이 향상되어 결과적으로 소자의 포지티브 채널 금속 산화물 반도체(positive channel metal oxide semiconductor; PMOS) 부분의 성능이 향상된다. 또한, 응력 프로파일의 향상을 돕도록 BTBAS의 사용을 겸할 때, 처리 파라미터가 조정될 수 있다. 향상된 박막 응력은 소자의 네거티브 채널 금속 산화물 반도체(negative channel metal oxide semiconductor; NMOS) 부분의 성능을 향상시킨다. 박막 응력 특성은 챔버 압력, 전체 공급 가스 유동, NH3와 BTBAS 공급 가스 비율, 및 BTBAS의 부피율(volume faction)의 조정에 영향을 받는다.
추가적인 실험 결과는 675℃에서 박막 비균일성에 대한 표준 편차가 1.5 %미만이었음을 나타낸다. 645 내지 675℃의 온도를 넘는 박막 비균일성 혼합의 표준 편차 역시 1.5% 미만이었다. 입자 오염도는 0.12㎛보다 크거나 동일할 때 30 입자 미만이었다.
습식 식각률은 낮은 농도의 NH3와 낮은 압력이 선택될 때 더 낮다. 테스트된 압력 범위는 50 내지 275 Torr였다. 습식 식각률은 0.3 미만으로 측정되었다. 박막의 습식 식각률은 열산화에 대한 박막 식각을 100:1의 HF와 비교함으로써 계산되었다. 400Å에서의 RMS 거칠기는 0.25nm가 되는 것으로 측정되었다.
625 내지 675℃가 넘는 박막 증착률은 125 내지 425Å이었다. 증착률은 더 높은 BTBAS 농도, 더 낮은 NH3 농도 및 더 높은 압력과 온도가 선택될 경우 더 높았다.
박막의 수소 농도는 15 원자 % 미만이었다. 수소는 N-H와 같이 박막 내에서 대부분 결합되는 것으로 추정된다. 박막의 탄소 함유량은 2 내지 8 원자 %였다.
관찰된 응력은 향상된 NMOS I-드라이브에 대해 1 E9 내지 2 E10 dynes/㎠ (0.1 내지 2 GPa)였다. 이러한 응력은 높은 NH3 농도, 낮은 BTBAS 농도 및 낮은 압력으로 인해 더 높았다.
동일한 온도 범위를 넘어서 측정된 굴절률은 1.75 내지 1.95였다. 굴절률은 시스템이 더 낮은 압력과 더 낮은 BTBAS 농도에서 작동될 때 더 높았다.
또한, 관찰되거나 예측된 탄소 농도는 2 내지 18%의 범위였다. 탄소 농도는 NH3 농도가 낮고 BTBAS 농도가 높았을 때 더 높았다.
표 1의 결과는 통상적이며 유사한 시스템에 비교될 수 있다. 표 1의 습식 식각률 비율 테스트 결과는 100:1 HF에 1분간 침지되는 통상적인 로 시스템에서 증착된 질화규소 박막에 비교될 수 있다. 표 3의 응력 테스트 결과는 0.1 내지 2.0 GPa의 결과를 갖는 유사한 작용 조건들에 대한 다른 테스트 결과와 유사하다.
통상적으로, 질소는 열 CVD 반응에 대한 희석 가스로서 뿐만 아니라 BTBAS용 가스원으로부터의 캐리어 가스로서 모두 사용된다. 수소를 희석 가스로 사용하면 30%까지 BTBAS와 NH3의 열 CVD 반응의 증착률이 증가한다. 또한, 수소에 게르만 도핑 가스를 희석 가스로 사용하면 증착률이 더욱더 증가할 수 있다.
BTBAS와 같은 프리커서가 규소와 탄소 모두의 공급원으로서 작용하면, 실란, 디실란, 헥사클로로디실란 및 에틸렌, 부틸렌, 및 다른 알켄 또는 다른 탄소원과 같이 탄소 프리커서를 갖는 디클로로실란과 같은 실란 프리커서를 결합할 수 있으며, 이 두 프리커서는 탄소가 도핑된 질화규소 박막을 형성하도록 단일 웨이퍼 열 CVD 챔버에서 NH3와 반응할 수 있다.
탄소가 도핑된 이산화규소 박막(Carbon Doped silicon Oxide Films)
또한, BTBAS는 약간의 처리 화학 유연성을 제공한다. 산화물 처리를 기초로 하는 BTBAS를 위해, NH3는 N2O와 같은 산화제에 의해 치환될 수 있다. 본 발명에서 설명되는 열 CVD 하드웨어가 산화물 박막을 증착하는데 사용될 수 있다.
BTBAS와 같은 프리커서가 규소와 탄소 모두의 공급원으로서 작용하면, 실란, 디실란, 헥사클로로디실란 및 에틸렌, 부틸렌, 및 다른 알켄 또는 다른 탄소원과 같이 탄소 프리커서를 갖는 디클로로실란과 같이 실란 프리커서를 결합할 수 있으 며, 이 두 프리커서는 탄소가 도핑된 이산화규소 박막을 형성하도록 단일 웨이퍼 열 CVD 챔버에서 N2O와 반응할 수 있다.
탄소가 도핑된 규소 산화물 질화물 박막(Carbon Doped Silicon Oxide Nitride Films)
대체로, 탄소가 도핑되거나 탄소를 함유한 규소 산화물 질화물 박막은 규소 함유 프리커서, 탄소 함유 프리커서, 산소 함유 프리커서 및 질소 함유 프리커서를 이용하여 증착될 수 있다. 이들 박막은 탄소 함유량 제어 외에도 절연체 함유량 제어를 가능하게 하는 차세대 소자에 사용할 수 있다. 이러한 저유전율(low-k)의 열 증착된 CVD 박막은 소자에 잠재적으로 이익이 될 수 있다.
탄소가 도핑되거나 탄소를 함유한 규소 산화물 질화물 박막을 제조하기 위해, BTBAS는 N2O와 같은 산화제 및 NH3와 사용될 수 있다. 본 발명에서 설명된 열 CVD 하드웨어는 산화물 질화물 박막을 증착하는데 사용될 수 있다.
BTBAS와 같은 프리커서가 규소와 탄소 모두의 공급원으로서 작용하면, 실란, 디실란, 헥사클로로디실란 및 에틸렌, 부틸렌, 및 다른 알켄 또는 다른 탄소원과 같이 탄소 프리커서를 갖는 디클로로실란과 같은 실란 프리커서를 결합할 수 있으며, 이 두 프리커서는 탄소가 도핑된 규소 산화물 질화물 박막을 형성하도록 단일 웨이퍼 열 CVD 챔버에서 NH3 및 N2O 모두와 반응할 수 있다.
트리메틸실란 및 테트라메틸실란과 같이 주로 사용되는 저유전율 프리커서는 규소, 산소 및 탄소를 함유한다. 이들 프리커서는 NH3와 같은 질소 공급원과 반응 되어 단일 웨이퍼 열 CVD 챔버 내에 탄소가 도핑된 규소 산화물 질화물 박막을 형성할 수 있다.
전술한 내용은 본 발명의 실시예에 관한 것이지만, 본 발명의 기본 범주를 벗어남 없이 본 발명의 여타 실시예들이 안출될 수 있으며, 본 발명의 범주는 하기의 특허청구범위에 의해 결정된다.

Claims (52)

  1. 반도체 기판상에 박막을 저온 증착하는 장치로서,
    처리 영역을 형성하는 챔버 본체와 챔버 덮개;
    상기 처리 영역 내에 배치된 기판 지지부;
    상기 챔버 덮개에 장착되며, 가스 혼합 영역을 형성하는 두 개의 차단 플레이트와 어댑터 링, 그리고 상기 어댑터 링에 고정되는 면판을 포함하는 가스 전달 시스템; 및
    상기 어댑터 링을 가열하도록 위치된 가열 소자;를 포함하는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  2. 제 1 항에 있어서,
    상기 차단 플레이트 중 하나가 상기 챔버 덮개에 고정되며, 나머지 차단 플레이트가 상기 어댑터 링에 고정되는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  3. 제 1 항에 있어서,
    상기 가열 소자가 상기 어댑터 링과 접촉하는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  4. 제 1 항에 있어서,
    상기 면판이 150 내지 250℃로 가열되는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  5. 제 1 항에 있어서,
    상기 기판 지지부가 550 내지 800℃로 가열되는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  6. 제 1 항에 있어서,
    상기 덮개가 60 내지 80℃로 가열되는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  7. 제 1 항에 있어서, 상기 챔버 본체의 슬릿 밸브 채널 내에 위치된 슬릿 밸브 라이너를 더 포함하는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  8. 제 1 항에 있어서,
    상기 기판 지지부를 둘러싸는 배기 펌핑 플레이트와 상기 배기 펌핑 플레이트 상의 덮개 플레이트를 더 포함하며, 상기 덮개 플레이트가 적절히 분포된 구멍들을 갖는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  9. 제 1 항에 있어서,
    30 내지 200℃로 가열되는 배기 밸브 조립체 구성요소를 더 포함하는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  10. 제 1 항에 있어서,
    상기 혼합 영역과 유체 연통하는 증발기를 더 포함하는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  11. 제 10 항에 있어서,
    상기 증발기가 비스(테시어리-부틸아미노)실란의 공급원과 유체 연통하는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  12. 제 1 항에 있어서,
    상기 가스 전달 시스템이 상기 기판 지지부 위에 있는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  13. 제 12 항에 있어서,
    상기 기판 지지부가 상기 면판 아래에 있으며, 상기 면판이 상기 차단 플레 이트 아래에 있는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  14. 반도체 기판상에 박막을 저온 증착하는 장치로서,
    처리 영역을 형성하는 챔버 본체와 챔버 덮개;
    상기 챔버 덮개에 고정된 제 1 차단 플레이트;
    상기 챔버 덮개에 고정된 어댑터 링;
    상기 어댑터 링과 접촉하는 가열 소자;
    상기 어댑터 링에 고정된 제 2 차단 플레이트;
    상기 어댑터 링에 고정된 면판; 및
    상기 처리 영역 내에 배치된 기판 지지부;를 포함하는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  15. 제 14 항에 있어서,
    상기 기판 지지부를 둘러싸는 배기 펌핑 플레이트와 상기 배기 펌핑 플레이트 상의 덮개 플레이트를 더 포함하며, 상기 덮개 플레이트가 적절히 분포된 구멍들을 갖는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  16. 제 14 항에 있어서,
    30 내지 200℃로 가열되는 배기 밸브 조립체 구성요소를 더 포함하는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  17. 제 14 항에 있어서,
    상기 챔버 본체의 슬릿 밸브 개구 내에 위치된 슬릿 밸브 라이너를 더 포함하는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  18. 제 14 항에 있어서,
    상기 혼합 영역과 유체 연통하는 증발기를 더 포함하는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  19. 제 18 항에 있어서,
    상기 증발기가 비스(테시어리-부틸아미노)실란의 공급원과 유체 연통하는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  20. 제 18 항에 있어서,
    상기 증발기가 캐리어 가스 시스템과 유체 연통하는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  21. 제 20 항에 있어서,
    상기 가스 전달 시스템이 60 대 1 내지 1000 대 1의 암모니아 대 실란 비율을 제공하는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  22. 제 14 항에 있어서,
    상기 가스 전달 시스템이 상기 기판 지지부 위에 있는,
    반도체 기판상에 박막을 저온 증착하는 장치.
  23. 제 22 항에 있어서,
    상기 기판 지지부가 상기 면판 아래에 있으며, 상기 면판이 상기 차단 플레이트 아래에 있는,
    반도체 기판상에 박막을 저온 증착하는 장치하는 장치
  24. 기판상에 규소 및 질소 함유 층을 증착하는 방법으로서,
    비스(테시어리-부틸아미노)실란을 기화시키는 단계;
    혼합 블록, 어댑터 링 및 둘 이상의 차단 플레이트에 의해 형성된 혼합 영역을 갖는 처리 챔버 내부로 비스(테시어리-부틸아미노)실란을 유동시키는 단계;
    상기 어댑터 링을 가열하는 단계; 및
    가스 분배 플레이트를 통하여 기판 위의 처리 영역으로 비스(테시어리-부틸 아미노)실란을 유동시키는 단계;를 포함하는,
    기판상에 규소 및 질소 함유 층을 증착하는 방법.
  25. 제 24 항에 있어서,
    550 내지 800℃의 온도로 질화규소 층을 증착하는 단계를 더 포함하는,
    기판상에 규소 및 질소 함유 층을 증착하는 방법.
  26. 제 24 항에 있어서,
    10 내지 350 Torr의 압력에서 질화규소 층을 증착하는 단계를 더 포함하는,
    기판상에 규소 및 질소 함유 층을 증착하는 방법.
  27. 제 24 항에 있어서,
    배기 펌핑 플레이트와 접촉하는 덮개 플레이트를 통하여 가스를 배기시키는 단계를 더 포함하는,
    기판상에 규소 및 질소 함유 층을 증착하는 방법.
  28. 제 24 항에 있어서,
    슬릿 밸브 라이너를 지지하는 슬릿 밸브 개구를 통하여 상기 처리 영역으로 기판을 삽입하는 단계를 더 포함하는,
    기판상에 규소 및 질소 함유 층을 증착하는 방법.
  29. 제 24 항에 있어서,
    상기 비스(테시어리-부틸아미노)실란이 혼합 영역으로 유입되기 전에 암모니아와 혼합되는,
    기판상에 규소 및 질소 함유 층을 증착하는 방법.
  30. 제 29 항에 있어서,
    암모니아 대 비스(테시어리-부틸아미노)실란의 농도비가 0 내지 100인,
    기판상에 규소 및 질소 함유 층을 증착하는 방법.
  31. 제 24 항에 있어서,
    상기 비스(테시어리-부틸아미노)실란이 상기 혼합 영역으로 유입되기 전에 일산화질소와 혼합되는,
    기판상에 규소 및 질소 함유 층을 증착하는 방법.
  32. 제 24 항에 있어서,
    상기 비스(테시어리-부틸아미노)실란이 상기 혼합 영역으로 유입되기 전에 암모니아 및 일산화질소와 혼합되는,
    기판상에 규소 및 질소 함유 층을 증착하는 방법.
  33. 제 24 항에 있어서,
    상기 비스(테시어리-부틸아미노)실란이 상기 혼합 영역으로 유입되기 전에 질소와 혼합되는,
    기판상에 규소 및 질소 함유 층을 증착하는 방법.
  34. 제 24 항에 있어서,
    상기 비스(테시어리-부틸아미노)실란이 상기 혼합 영역을 유입되기 전에 헬륨과 혼합되는,
    기판상에 규소 및 질소 함유 층을 증착하는 방법.
  35. 제 24 항에 있어서,
    상기 비스(테시어리-부틸아미노)실란이 수소 또는 게르만 희석 수소와 혼합되는,
    기판상에 규소 및 질소 함유 층을 증착하는 방법.
  36. 제 24 항에 있어서,
    상기 질화규소 층이 0.1 내지 2.0 GPa의 인장 응력을 갖는,
    기판상에 규소 및 질소 함유 층을 증착하는 방법.
  37. 제 24 항에 있어서,
    기판의 직경에 걸친 상기 질화규소 층의 탄소 함유량이 1% 미만으로 변화되는,
    기판상에 규소 및 질소 함유 층을 증착하는 방법.
  38. 기판상에 규소, 질소 및 탄소 함유 층을 증착하는 방법으로서,
    비스(테시어리-부틸아미노)실란을 기화시키는 단계;
    덮개, 어댑터 링 및 둘 이상의 차단 플레이트에 의해 형성된 혼합 영역을 갖는 처리 챔버 내부로 비스(테시어리-부틸아미노)실란을 유동시키는 단계;
    상기 어댑터 링을 가열하는 단계; 및
    규소, 질소 및 탄소 함유 층을 증착할 수 있는 조건에서 가스 분배 플레이트를 통하여 기판 위의 처리 영역으로 비스(테시어리-부틸아미노)실란을 유동시키는 단계;를 포함하는,
    기판상에 규소, 질소 및 탄소 함유 층을 증착하는 방법.
  39. 제 38 항에 있어서,
    상기 규소, 질소 및 탄소 함유 층이 2 내지 18의 % 탄소 함유량을 갖는,
    기판상에 규소, 질소 및 탄소 함유 층을 증착하는 방법.
  40. 제 38 항에 있어서,
    상기 규소, 질소 및 탄소 함유 층이 550 내지 800℃의 온도에서 증착되는,
    기판상에 규소, 질소 및 탄소 함유 층을 증착하는 방법.
  41. 제 38 항에 있어서,
    상기 규소, 질소 및 탄소 함유 층이 10 내지 350 Torr의 압력에서 증착되는,
    기판상에 규소, 질소 및 탄소 함유 층을 증착하는 방법.
  42. 제 38 항에 있어서,
    배기 펌핑 플레이트와 접촉하는 덮개 플레이트를 통하여 가스를 배기하는 단계를 더 포함하는,
    기판상에 규소, 질소 및 탄소 함유 층을 증착하는 방법.
  43. 제 38 항에 있어서,
    슬릿 밸브 라이너를 지지하는 슬릿 밸브 개구를 통하여 상기 처리 영역으로 기판을 삽입하는 단계를 더 포함하는,
    기판상에 규소, 질소 및 탄소 함유 층을 증착하는 방법.
  44. 제 38 항에 있어서,
    상기 비스(테시어리-부틸아미노)실란이 상기 혼합 영역으로 유입되기 전에 암모니아와 혼합되는,
    기판상에 규소, 질소 및 탄소 함유 층을 증착하는 방법.
  45. 제 44 항에 있어서,
    암모니아 대 비스(테시어리-부틸아미노)실란의 농도비가 0 내직 100인,
    기판상에 규소, 질소 및 탄소 함유 층을 증착하는 방법.
  46. 제 38 항에 있어서,
    상기 비스(테시어리-부틸아미노)실란이 상기 혼합 영역으로 유입되기 전에 일산화질소와 혼합되는,
    기판상에 규소, 질소 및 탄소 함유 층을 증착하는 방법.
  47. 제 38 항에 있어서,
    상기 비스(테시어리-부틸아미노)실란이 상기 혼합 영역으로 유입되기 전에 암모니아 및 일산화질소와 혼합되는,
    기판상에 규소, 질소 및 탄소 함유 층을 증착하는 방법.
  48. 제 38 항에 있어서,
    상기 비스(테시어리-부틸아미노)실란이 상기 혼합 영역으로 유입되기 전에 질소와 혼합되는,
    기판상에 규소, 질소 및 탄소 함유 층을 증착하는 방법.
  49. 제 38 항에 있어서,
    상기 비스(테시어리-부틸아미노)실란이 상기 혼합 영역으로 유입되기 전에 헬륨과 혼합되는,
    기판상에 규소, 질소 및 탄소 함유 층을 증착하는 방법.
  50. 제 38 항에 있어서,
    상기 비스(테시어리-부틸아미노)실란이 수소 또는 게르만 희석 수소와 혼합되는,
    기판상에 규소, 질소 및 탄소 함유 층을 증착하는 방법.
  51. 제 38 항에 있어서,
    상기 규소, 질소 및 탄소 함유 층이 0.1 내지 2.0 GPa의 인장 응력을 갖는,
    기판상에 규소, 질소 및 탄소 함유 층을 증착하는 방법.
  52. 제 38 항에 있어서,
    상기 규소, 질소 및 탄소 함유 층의 기판의 직경에 걸친 탄소 함유량의 변화가 1% 미만인,
    기판상에 규소, 질소 및 탄소 함유 층을 증착하는 방법.
KR1020067012303A 2003-11-25 2004-08-25 질화규소의 열화학기상증착 KR101254115B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US52524103P 2003-11-25 2003-11-25
US60/525,241 2003-11-25
US10/911,208 2004-08-04
US10/911,208 US20050109276A1 (en) 2003-11-25 2004-08-04 Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
PCT/US2004/027584 WO2005059200A1 (en) 2003-11-25 2004-08-25 Thermal chemical vapor deposition of silicon nitride

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020117030272A Division KR101216202B1 (ko) 2003-11-25 2004-08-25 질화규소의 열화학기상증착
KR1020117030273A Division KR101216203B1 (ko) 2003-11-25 2004-08-25 질화규소의 열화학기상증착

Publications (2)

Publication Number Publication Date
KR20060113959A true KR20060113959A (ko) 2006-11-03
KR101254115B1 KR101254115B1 (ko) 2013-04-12

Family

ID=34595251

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020117030272A KR101216202B1 (ko) 2003-11-25 2004-08-25 질화규소의 열화학기상증착
KR1020067012303A KR101254115B1 (ko) 2003-11-25 2004-08-25 질화규소의 열화학기상증착
KR1020117030273A KR101216203B1 (ko) 2003-11-25 2004-08-25 질화규소의 열화학기상증착

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020117030272A KR101216202B1 (ko) 2003-11-25 2004-08-25 질화규소의 열화학기상증착

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020117030273A KR101216203B1 (ko) 2003-11-25 2004-08-25 질화규소의 열화학기상증착

Country Status (7)

Country Link
US (2) US20050109276A1 (ko)
EP (1) EP1685272B1 (ko)
JP (1) JP4801591B2 (ko)
KR (3) KR101216202B1 (ko)
CN (2) CN1906326B (ko)
DE (1) DE602004018021D1 (ko)
WO (1) WO2005059200A1 (ko)

Families Citing this family (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7001844B2 (en) * 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7371649B2 (en) * 2005-09-13 2008-05-13 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
US7498270B2 (en) * 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7294581B2 (en) * 2005-10-17 2007-11-13 Applied Materials, Inc. Method for fabricating silicon nitride spacer structures
US7416995B2 (en) * 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7465669B2 (en) * 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US8398816B1 (en) * 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US20070238254A1 (en) * 2006-03-28 2007-10-11 Applied Materials, Inc. Method of etching low dielectric constant films
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080026517A1 (en) * 2006-07-28 2008-01-31 Grudowski Paul A Method for forming a stressor layer
CN101165868B (zh) * 2006-10-20 2010-05-12 北京北方微电子基地设备工艺研究中心有限责任公司 晶片处理室的内衬及包含该内衬的晶片处理室
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7922863B2 (en) * 2006-12-22 2011-04-12 Applied Materials, Inc. Apparatus for integrated gas and radiation delivery
US7678698B2 (en) * 2007-05-04 2010-03-16 Freescale Semiconductor, Inc. Method of forming a semiconductor device with multiple tensile stressor layers
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8643124B2 (en) 2007-05-25 2014-02-04 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US20090181553A1 (en) * 2008-01-11 2009-07-16 Blake Koelmel Apparatus and method of aligning and positioning a cold substrate on a hot surface
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
JP5439771B2 (ja) * 2008-09-05 2014-03-12 東京エレクトロン株式会社 成膜装置
US20110045182A1 (en) * 2009-03-13 2011-02-24 Tokyo Electron Limited Substrate processing apparatus, trap device, control method for substrate processing apparatus, and control method for trap device
WO2010123877A2 (en) * 2009-04-21 2010-10-28 Applied Materials, Inc. Cvd apparatus for improved film thickness non-uniformity and particle performance
US20110101442A1 (en) * 2009-11-02 2011-05-05 Applied Materials, Inc. Multi-Layer Charge Trap Silicon Nitride/Oxynitride Layer Engineering with Interface Region Control
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
CN103119197A (zh) * 2010-08-31 2013-05-22 株式会社岛津制作所 非晶质氮化硅膜及其制造方法
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
TWI534897B (zh) * 2011-01-14 2016-05-21 賽普拉斯半導體公司 具有多重氮氧化物層之氧化物-氮化物-氧化物堆疊
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5730638B2 (ja) * 2011-03-28 2015-06-10 東京エレクトロン株式会社 基板処理装置の処理室内構成部材及びその温度測定方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
CN102828167B (zh) * 2011-06-13 2015-02-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种排气方法、装置及基片处理设备
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9234278B2 (en) * 2012-01-20 2016-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. CVD conformal vacuum/pumping guiding design
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
WO2014149962A1 (en) * 2013-03-14 2014-09-25 Applied Materials, Inc. Apparatus for coupling a hot wire source to a process chamber
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
CN104120403B (zh) * 2014-07-23 2016-10-19 国家纳米科学中心 一种氮化硅膜材料及其制备方法
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
WO2016065219A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102438139B1 (ko) 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10954594B2 (en) * 2015-09-30 2021-03-23 Applied Materials, Inc. High temperature vapor delivery system and method
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
CN114231947A (zh) * 2016-02-26 2022-03-25 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
CN108394876B (zh) * 2017-02-07 2021-04-02 新疆晶硕新材料有限公司 氮硅烷及其生产方法、氮化硅及其生产方法
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10633740B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US20200043722A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Cvd based spacer deposition with zero loading
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020209939A1 (en) 2019-04-08 2020-10-15 Applied Materials, Inc. Methods for modifying photoresist profiles and tuning critical dimensions
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2022533826A (ja) * 2019-05-22 2022-07-26 アプライド マテリアルズ インコーポレイテッド 斜面エッチングチャンバのためのヒータ支持キット
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20230024400A (ko) 2020-06-17 2023-02-20 어플라이드 머티어리얼스, 인코포레이티드 고온 화학 기상 증착 덮개
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
CN111996590B (zh) * 2020-08-14 2021-10-15 北京北方华创微电子装备有限公司 一种工艺腔室
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
CN112553594B (zh) * 2020-11-19 2022-10-21 北京北方华创微电子装备有限公司 反应腔室和半导体工艺设备
US20230073150A1 (en) * 2021-09-09 2023-03-09 Applied Materials, Inc. Heated lid for a process chamber
TWI790061B (zh) * 2021-12-24 2023-01-11 天虹科技股份有限公司 用以改善基板溫度分布的薄膜沉積機台

Family Cites Families (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US164890A (en) * 1875-06-22 Improvement in cartridge-boxes
US203255A (en) * 1878-05-07 Improvement in bale-ties
US4496609A (en) * 1969-10-15 1985-01-29 Applied Materials, Inc. Chemical vapor deposition coating process employing radiant heat and a susceptor
JPH0826460B2 (ja) * 1987-07-10 1996-03-13 日電アネルバ株式会社 成膜装置および方法
JP2804762B2 (ja) * 1988-07-19 1998-09-30 東京エレクトロン株式会社 プラズマ処理装置
JPH0660408B2 (ja) * 1988-12-16 1994-08-10 日電アネルバ株式会社 薄膜作製方法および装置
JPH0824191B2 (ja) * 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US6379466B1 (en) * 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
US5300322A (en) * 1992-03-10 1994-04-05 Martin Marietta Energy Systems, Inc. Molybdenum enhanced low-temperature deposition of crystalline silicon nitride
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5511799A (en) * 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
US5676205A (en) * 1993-10-29 1997-10-14 Applied Materials, Inc. Quasi-infinite heat source/sink
TW275132B (en) * 1994-08-31 1996-05-01 Tokyo Electron Co Ltd Treatment apparatus
JP3513543B2 (ja) * 1994-11-21 2004-03-31 テクノポリマー株式会社 熱可塑性樹脂組成物
US5894887A (en) * 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US5772773A (en) * 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US6116184A (en) * 1996-05-21 2000-09-12 Symetrix Corporation Method and apparatus for misted liquid source deposition of thin film with reduced mist particle size
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5977519A (en) * 1997-02-28 1999-11-02 Applied Komatsu Technology, Inc. Heating element with a diamond sealing material
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6018616A (en) * 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6202656B1 (en) * 1998-03-03 2001-03-20 Applied Materials, Inc. Uniform heat trace and secondary containment for delivery lines for processing system
JP4214585B2 (ja) * 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6572814B2 (en) * 1998-09-08 2003-06-03 Applied Materials Inc. Method of fabricating a semiconductor wafer support chuck apparatus having small diameter gas distribution ports for distributing a heat transfer gas
JP4021593B2 (ja) * 1998-09-25 2007-12-12 株式会社東芝 半導体装置およびその製造方法
JP3210627B2 (ja) * 1998-09-30 2001-09-17 アプライド マテリアルズ インコーポレイテッド 半導体製造装置
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6462371B1 (en) * 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
US6351013B1 (en) * 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6548414B2 (en) * 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
JP2001156067A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2001156065A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP2001185492A (ja) * 1999-12-24 2001-07-06 Hitachi Kokusai Electric Inc 半導体製造装置
KR100390822B1 (ko) * 1999-12-28 2003-07-10 주식회사 하이닉스반도체 이미지센서에서의 암전류 감소 방법
JP3819660B2 (ja) * 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6261408B1 (en) * 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6518626B1 (en) * 2000-02-22 2003-02-11 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective of etchants
JP5016767B2 (ja) * 2000-03-07 2012-09-05 エーエスエム インターナショナル エヌ.ヴェー. 傾斜薄膜の形成方法
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6271054B1 (en) * 2000-06-02 2001-08-07 International Business Machines Corporation Method for reducing dark current effects in a charge couple device
EP1167572A3 (en) * 2000-06-22 2002-04-10 Applied Materials, Inc. Lid assembly for a semiconductor processing chamber
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
SG89410A1 (en) * 2000-07-31 2002-06-18 Hitachi Ulsi Sys Co Ltd Manufacturing method of semiconductor integrated circuit device
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
JP4381588B2 (ja) * 2000-10-25 2009-12-09 ソニー株式会社 加熱を伴う処理装置
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6583343B1 (en) * 2000-12-22 2003-06-24 Pioneer Hi-Bred International, Inc. Soybean variety 91B12
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6709721B2 (en) * 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
KR100687531B1 (ko) * 2001-05-09 2007-02-27 에이에스엠 저펜 가부시기가이샤 반도체 장치용 저유전상수 절연막의 형성방법
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6566246B1 (en) * 2001-05-21 2003-05-20 Novellus Systems, Inc. Deposition of conformal copper seed layers by control of barrier layer morphology
JP2002359233A (ja) * 2001-06-01 2002-12-13 Hitachi Ltd プラズマ処理装置
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6555166B2 (en) * 2001-06-29 2003-04-29 International Business Machines Method for reducing the microloading effect in a chemical vapor deposition reactor
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6559074B1 (en) * 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US20030124818A1 (en) * 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
JP4255237B2 (ja) * 2002-02-28 2009-04-15 株式会社日立国際電気 基板処理装置及び基板処理方法
US20030216981A1 (en) * 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (ja) * 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US6613637B1 (en) * 2002-05-31 2003-09-02 Lsi Logic Corporation Composite spacer scheme with low overlapped parasitic capacitance
US20040033677A1 (en) * 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
JP4265409B2 (ja) * 2003-02-13 2009-05-20 三菱マテリアル株式会社 Si−Si結合を有する有機Si含有化合物を用いたSi含有薄膜の形成方法
US7031600B2 (en) * 2003-04-07 2006-04-18 Applied Materials, Inc. Method and apparatus for silicon oxide deposition on large area substrates
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers

Also Published As

Publication number Publication date
WO2005059200A1 (en) 2005-06-30
JP4801591B2 (ja) 2011-10-26
US20050109276A1 (en) 2005-05-26
EP1685272A1 (en) 2006-08-02
EP1685272B1 (en) 2008-11-26
CN102586757A (zh) 2012-07-18
KR101216203B1 (ko) 2012-12-27
CN1906326B (zh) 2012-05-16
CN102586757B (zh) 2014-09-03
US20060102076A1 (en) 2006-05-18
JP2007515060A (ja) 2007-06-07
CN1906326A (zh) 2007-01-31
KR101254115B1 (ko) 2013-04-12
KR101216202B1 (ko) 2012-12-27
DE602004018021D1 (de) 2009-01-08
KR20110139323A (ko) 2011-12-28
KR20120008074A (ko) 2012-01-25

Similar Documents

Publication Publication Date Title
KR101254115B1 (ko) 질화규소의 열화학기상증착
US20070082507A1 (en) Method and apparatus for the low temperature deposition of doped silicon nitride films
EP1470264B1 (en) Methods for silicon oxide and oxynitride deposition using single wafer low pressure cvd
US7253084B2 (en) Deposition from liquid sources
US7790634B2 (en) Method for depositing and curing low-k films for gapfill and conformal film applications
US9745658B2 (en) Chamber undercoat preparation method for low temperature ALD films
US6884464B2 (en) Methods for forming silicon comprising films using hexachlorodisilane in a single-wafer deposion chamber
US20010012700A1 (en) Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US7470450B2 (en) Forming a silicon nitride film
US20020090467A1 (en) Method and apparatus for forming a borophosphosilicate film
EP0822585A2 (en) Stress control by fluorination of silica film
EP1788118A2 (en) Thermal chemical vapor deposition of silicon nitride
US9580801B2 (en) Enhancing electrical property and UV compatibility of ultrathin blok barrier film
US7763327B2 (en) Methods using ozone for CVD deposited films
KR20040024062A (ko) 개선된 샤워헤드 구조를 가지는 박막 제조용 화학기상증착장치
CN102543850A (zh) 处理低k介电膜的方法

Legal Events

Date Code Title Description
A201 Request for examination
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190401

Year of fee payment: 7