JP2007515060A - 窒化ケイ素の熱化学気相堆積 - Google Patents

窒化ケイ素の熱化学気相堆積 Download PDF

Info

Publication number
JP2007515060A
JP2007515060A JP2006541132A JP2006541132A JP2007515060A JP 2007515060 A JP2007515060 A JP 2007515060A JP 2006541132 A JP2006541132 A JP 2006541132A JP 2006541132 A JP2006541132 A JP 2006541132A JP 2007515060 A JP2007515060 A JP 2007515060A
Authority
JP
Japan
Prior art keywords
silane
bis
tertiarybutylamino
plate
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006541132A
Other languages
English (en)
Other versions
JP4801591B2 (ja
Inventor
アール. スリヤナラヤナン アイヤル,
シーン, エム. スーター,
ジェイコブ, ダブリュー. スミス,
グレゴリー, ダブリュー. ディベロ,
アレクサンダー タム,
ビン トラン,
サンジーヴ タンドン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007515060A publication Critical patent/JP2007515060A/ja
Application granted granted Critical
Publication of JP4801591B2 publication Critical patent/JP4801591B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

処理領域と、基板支持体と、ガス分配システムと、ガス混合領域と、フェースプレートに固定されたアダプタリングを所望の温度に加熱するように配置された加熱素子と、温度制御排気システムとを備えた装置。また、ビス(第三級ブチルアミノ)シランを蒸発させ、ビス(第三級ブチルアミノ)シランとアンモニアを処理チャンバへ流し、アダプタリングと少なくとも2つのブロッカープレーで画成された追加の混合領域を有する2つの反応種を合わせ、アダプタリングを加熱し、ビス(第三級ブチルアミノ)シランをガス分配プレートを通って処理領域に流す方法と装置。
【選択図】 図1

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的には、基板処理に関する。より詳細には、本発明は、化学気相堆積チャンバ及びプロセスに関する。
発明の背景
[0002]熱化学気相堆積(CVD)膜は、集積回路内で物質の層を形成するのに用いられる。熱CVD膜は、絶縁体、拡散源、拡散マスク、注入マスク、スペーサ、最終パッシベーション層として用いられる。膜は、基板のような複数の回路キャリヤの表面全体に、物理的且つ化学的に均一な膜を堆積させることを最適化するために、特定の熱移動と物質移動特性をもって設計されたチャンバ内にしばしば堆積する。チャンバは、しばしば、基板表面上で複数の構成成分を製造する大きな統合ツールの一部である。チャンバは、1つの基板を一度に処理するように又は複数の基板を処理するように設計されている。
[0003]より速い集積回路を可能にするためにデバイスの形状が小さくなるにつれて、高い生産性、新規な膜特性、且つ少ない不純物の増えている要求を満たしつつ、堆積した膜の熱量を減らすことが好ましい。従来、熱CVDは、数時間にわたる低い圧力条件で堆積が起こるバッチ内で700℃以上の温度で行われた。より低い熱量は、低温前駆物質の使用を必要とする堆積温度を下げることによって又は堆積時間を短くすることによって達成され得る。熱CVDプロセスは、反応速度制御下での動作の場合には温度変化に、物質移動制御下での動作の場合には流れの不均一性に、又は反応速度と物質移動制御の混合下での動作の場合には双方に感受性がある。効果的なチャンバ設計は、基板上の均一な膜の堆積を促すために、温度変化の正確な制御と充分に分配された流れを必要とする。処理チャンバと排気ハードウェア設計は、前駆物質と反応副生成物の特性に基づいて調べられる。
発明の概要
[0004]本発明は、単一ウエハ熱CVDチャンバの機械設計を変えることによって、均一な熱分配、均一なプロセス化学物質の分配、効率的な前駆物質の分配、効率的な残留物、排気ガスの管理を与えるCVDチャンバである。改良には、処理領域を画成するチャンバ本体とチャンバリッドを備えている処理チャンバと、処理領域内に配置された基板支持体と、ガス混合領域を画成するアダプタリングと2つのブロッカープレートを備えているチャンバリッド上に取り付けられたガス分配システムと、アダプタリングに固定されたフェースプレートと、アダプタリングを所望の温度に加熱するために配置された加熱素子と、温度制御された排気システムとが含まれる。
[0005]改良には、窒化ケイ素層又は炭素をドープした又は炭素含有窒化ケイ素層を基板上に堆積させる方法であって、ビス(第三級ブチルアミノ)シラン(BTBAS)又は他のシリコン前駆物質を蒸発させるステップと、ビス(第三級ブチルアミノ)シランを処理チャンバに流すステップと、アンモニア及び/又は他の窒素前駆物質を処理チャンバ内に流すステップと、2つの反応種をチャンバリッドのミキサで合わせるステップと、アダプタリングと少なくとも2つのブロッカープレートにより画成された追加の混合領域をもつステップと、アダプタリングを加熱するステップと、ビス(第三級ブチルアミノ)シランをガス分配プレートを通って基板上の処理領域に流すステップとを含む、前記方法が含まれている。改良は、基板の表面全体の欠点を減少し、製品の歩留まりを改善する。
[0006]本発明の上記特徴が詳細に理解され得るように、上で簡単に纏めた本発明のより詳しい説明は実施形態によって参照することができ、その一部は添付の図面に示されている。しかしながら、添付の図面は、本発明の典型的な実施形態だけを示し、それ故、本発明の範囲を制限するものとみなされるべきでなく、本発明は、他の同等で有効な実施形態を許容することができる。
詳細な説明
[0015]本発明の実施形態は、基板上に層を堆積させるための装置及び基板上に層を堆積させるための方法を提供する。実施形態の説明的図面を含むハードウェアの検討がはじめに示される。ハードウェアの検討の後に、プロセス変更と試験結果の説明が続く。
[0016]図1は、壁106とリッド110をもつ単一ウエハCVD処理チャンバの断面図である。チャンバの壁は、ほぼ円筒形である。壁の切断面は加熱することができる。スリットバルブ開口部114は、ウエハ又は他の基板の入り口の壁の中に配置されている。
[0017]基板支持体111は、基板を支持し、チャンバに熱を供給することができる。その基板支持体に加えて、チャンバのベースは、基板支持アセンブリ、反射プレート又は他の熱移動を促進するために調整された他のメカニズム、チャンバ条件を測定するプローブ、排気アセンブリ、基板を支持し且つチャンバの環境を制御するための他の装置を含むことができる。
[0018]フィードガスは、リッド110の中のミキサ113と第1ブロッカープレート104内の穴(図示せず)を通過した後に、ガス分配システムを通ってチャンバに入ることができる。フィードガスは、液体の蒸気やガスを含むことができる気体である。その後、ガスは、第1ブロッカープレート104と第2ブロッカープレート105の間に作られた混合領域102を通って進む。第2ブロッカープレート105は、構造上、アダプタリング103によって支持されている。ガスは、第2ブロッカープレート105内の穴(図示せず)を通過した後、フェースプレート108を通って流れ、その後、チャンバ壁106、フェースプレート108、基板支持体111で画成された主処理領域に入る。その後、ガスは、排気プレート109を通ってチャンバから出る。リッド110は、更に、ガスフィード注入口、ガスミキサ、プラズマ源、1つ以上のガス分配アセンブリを含むことができる。任意に、チャンバは、混合領域102とフェースプレート108を加熱するためにアダプタリング103に熱を供給するのに加熱される、チャンバ壁106とリッド110の間に挿入断片101を含んでいてもよい。図1で示された他のハードウェアオプションは排気プレートカバー112であり、排気ポンププレート109の上に置かれている。最後に、スリットバルブライナ115は、スリットバルブ開口部114を通る熱損失を減少させるために任意に用いられてもよい。
[0019]図2は、ガスフィードシステムの分解図である。図2は、ガスがチャンバの処理領域に入る前に、ガスを加熱し混合するために加熱された表面をもつ隙間を設けるように、リッド110、複数のブロッカープレート104、105、アダプタリング103、フェースプレート108がどのように構成することができるかを示している。
[0020]図3は、フェースプレート108の図である。フェースプレート108は、アダプタリング103によって支持されている。フェースプレート108は、アダプタリング103とネジで接続され、チャンバの処理領域内で望ましいガス注入分配を生じる穴により構成されている。
[0021]図4は、任意のスリットバルブライナ115の3次元図である。スリットバルブライナ115は、スリットバルブ開口部114を通る熱損失を減少させる。
[0022]図5は、チャンバの処理領域から出る排気の流れを制御するための排気プレート109の3次元概略図である。概略図は、スリットバルブの存在によって引き起こされるチャンバ内での熱移動のゆがみに対して補償を援助するためにチャンバからの排気を変化させるのにプレートがどのように構成されるかを示している。
[0023]図6は、排気プレート109の排気プレートカバー112の3次元概略図である。図は、チャンバ内での排気流のゆがみを補償するために、カバーがどのような特定の穴パターンで設計されるかを示している。
[0024]図7は、代替的実施形態のリッドアセンブリの拡大図である。リッド209は、断熱素子212によって、チャンバの残りの部分から分離することができる。断熱素子212は、ヒータジャケット203の上面と下面にある。ヒータジャケット203は、ブロッカープレート205とフェースプレート208にも接続することができる。任意に、リッドのパーツ又はリッドの構成成分が所望の温度に加熱されてもよい。
[0025]リッドアセンブリは、フィードガスを予め混合する最初のガス注入口213とリッド209、断熱素子212、ヒータジャケット203、ブロッカープレート204と205によって画成されたスペース202を形成するパーツとを含んでいる。スペース202は、反応ガスがチャンバの基板処理部分に入る前に混合するために滞留時間を増加させるものである。スペース202を画成する表面にヒータ210で加えることができる熱は、スペースの表面に添った原料、凝縮物、副生成物の蓄積の防止を援助する。いったんガスがフェースプレート208を出てチャンバの基板処理部分に入ると、加熱された表面は良好な熱移動や質量移動を促進するために反応ガスも予熱する。
[0026]図7は、また、CVDチャンバにBTBASのようなアミノシリコン化合物を加えるためのガスフィードシステムの構成成分の図である。BTBASは、バルクアンプル401に貯蔵される。BTBASは、バルクアンプル401からプロセスアンプル402に流れる。BTBASは、液体流量計403へ流れる。定量されたBTBASは、圧コントロールされた直接液体インジェクタのような蒸発器404に流れる。任意に、BTBASは、蒸発器404で、ガス源405からの窒素のようなキャリヤガスと混合することができる。更に、キャリヤガスは、蒸発器に加えられる前に、予熱することができる。得られたガスは、次に、CVDチャンバのリッド209のガス注入口213に導入される。任意に、蒸発器404とミキサ113を接続する配管が加熱されてもよい。
[0027]図8は、試料が基板の表面全体に集められた場所を示している基板の図である。
[0028]フェースプレート108、208の下のチャンバの処理部分の中で、フェースプレート、チャンバの壁、排気プレート、基板支持体のような表面に熱を供給することによって、熱分配が制御される。熱分配は、また、排気プレートの設計、排気プレートカバーの任意の挿入、スリットバルブライナの任意の挿入によって制御される。チャンバの処理部分内での化学分配は、フェースプレート、排気プレート、任意の排気プレートカバーの設計によって影響を受ける。リッド内のガス注入口とフェースプレートの間にかなりのスペースがある場合やフェースプレートが加熱される場合に、プラズマクリーニングも改善される。
[0029]第2ブロッカープレート105とフェースプレート108は、ブロッカープレートの表面上への化学堆積を防ぐために、チャンバ内でガスを予熱するために、また、リッドに対する熱損失を減少させるために加熱される。第2ブロッカープレートとフェースプレートをリッドに取り付けるアダプタリング103は、第2ブロッカープレートとフェースプレートをリッドから熱的に分離することを援助する。例えば、リッドは、約30‐70℃の温度に維持することができ、第2ブロッカープレートとフェースプレートは、約100‐350℃の温度に維持することができる。アダプタリングは、リッドに対して熱損失を制限するために、一様でない厚さで設計することができ、熱チョークのように作用する。第2ブロッカープレートとフェースプレートのリッドからの熱分離によって、第2ブロッカープレートとフェースプレートがリッドの表面全体に存在することがある温度変化から防御される。従って、第2ブロッカープレートとフェースプレートは、従来のチャンバほどリッドを加熱せず、従来のチャンバのブロッカープレートとフェースプレートよりも高い温度で維持することができる。第2ブロッカープレートとフェースプレートによって得られたより均一なガス加熱により、チャンバ内の基板上により均一膜が堆積する。典型的には、第2ブロッカープレートとフェースプレートは、約100〜350℃以上、例えば、約150〜300℃の温度に加熱される。より高い温度の第2ブロッカープレートとフェースプレートの観測された1つの利点は、チャンバにおけるより高い膜堆積速度である。第2ブロッカープレートとフェースプレートのより高い温度が、チャンバ内の前駆物質の分離を加速することで堆積速度を高めると考えられる。第2ブロッカープレートとフェースプレートがより高い温度であることの他の利点は、第2ブロッカープレートとフェースプレート上でのCVD反応副生成物の堆積が減少することである。
[0030]排気システムは、また、チャンバ内での熱分配や化学分配に貢献する。ポンププレート109は、スリットバルブによって引き起こされる熱分配の問題を補償するために、不均一に分配された開口部で構成されてもよい。ポンププレートは、プレートの表面上での排気化学や副生成物の堆積を防止するために、基板支持アセンブリによってチャンバの処理部分に供給された熱を保持する物質から作られてもよい。ポンププレートは、スリットバルブの放射率のゆがみを補償するためにも、戦略的に置かれた複数のスリットを特徴とする。排気システムは、チャンバ内での10〜350トール圧力の維持を援助する。排気システムは、スロットルバルブと分離バルブを用いて圧力を制御する。これらのバルブは、副生成物や未使用のガスや蒸気残留物の形成を防ぐために所望の温度に加熱することができる。
[0031]基板支持アセンブリ111は、均一な膜分配を可能にするために、いくつかの設計メカニズムをもっている。基板と接触する支持体の表面は、基板の半径全体に可変の熱を分配する熱移動のための複数のゾーンを特徴としてもよい。例えば、基板支持アセンブリは、500‐800℃、例えば、600‐700℃のプロセス温度に維持することができる二重ゾーンセラミックヒータを含むことができる。基板温度は、典型的には、測定されたヒータの温度より約20‐30℃低い。支持体は、チャンバの処理部分の内部全体に熱変動や化学変動を補償するために回転させることができる。支持体は、手動又は機械的にチャンバ内で基板を中央にするために、チャンバ内での水平、垂直、又は回転の運動を特徴としてもよい。
[0032]処理チャンバとその構成成分の表面は、陽極酸化アルミニウムから作ることができる。陽極酸化アルミニウムは、凝縮や固形物の堆積を妨げる。陽極酸化アルミニウムは、多くの物質よりも熱をよく保持するので、物質の表面は温かいままであり、従って、凝縮や生成物の堆積を妨げる。物質は、また、多くの従来のチャンバの表面より固形堆積が得られる化学反応を促進しない。リッド、壁、スペーサ断片、ブロッカープレート、フェースプレート、基板支持アセンブリ、スリットバルブ、スリットバルブライナ、排気アセンブリはすべて、固体の陽極酸化アルミニウムで被覆又は形成されてもよい。
[0033]希釈剤又はキャリヤガスは、膜特性を調整するための他のメカニズムを与える。窒素又はヘリウムは、個別に又は組合わせて用いられる。水素又はアルゴンも用いることができる。より重いガスは、チャンバ内で熱の分配を援助する。より軽いガスは、前駆物質の液体がチャンバに加えられる前にその蒸発を援助する。プロセスガスの十分な希釈は、チャンバ表面や排気システム表面での凝縮又は固体の堆積の防止を援助する。
[0034]再現性試験が行われた。従来のチャンバ内と上記の追加及び/又は変更された構成成分を特徴とする変更されたチャンバ内に堆積した膜の膜層の厚さを比較した。ウエハの均一性における著しい改善が変更されたチャンバで観測された。
[0035]本明細書に記載されたCVDチャンバ内に堆積することができる膜の例は、以下に示される。チャンバへのガスの流量全体は、200〜20,000sccmであってもよく、典型的なプロセスは、流量が4,000sccmであってもよい。膜組成、特に、窒素とシリコンの含量の割合、屈折率、ウェットエッチ速度、水素含量、本明細書に示された膜のいずれかの応力が、いくつかのパラメータを調節することによって変更することができる。これらのパラメータは、全流量、チャンバ内での間隔、加熱時間を含んでいる。システムの圧力は10〜350トールに調節することができ、NHとBTBASとの濃度比は0〜100に調節することができる。
窒化ケイ素膜
[0036]窒化ケイ素膜は、シリコン前駆物質と窒素前駆物質との反応によって、本明細書に記載されるチャンバ内で化学気相堆積させることができる。用いることができるシリコン前駆物質としては、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、ビス(第三級ブチルアミノ)シラン(BTBAS)、シラン(SiH)、ジシラン(Si)、多くのその他が含まれる。用いることができる窒素前駆物質としては、アンモニア(NH)、ヒドラジン(N)その他が含まれる。例えば、SiHとNH化学を用いることができる。
[0037]CVD処理チャンバにおいては、SiHは、SiH、主にSiHに、おそらくSiHに解離する。NHは、NH、NH、Hに解離する。これらの中間体は、ガス境界層を通って拡散するSiHNH又はSiHNH又は同様のアミノシラン前駆物質を形成するために反応し、基板で又は基板の極近傍で反応して窒化ケイ素膜を形成する。より温かいチャンバの表面は、NH反応性を増大するチャンバに熱を供給すると考えられる。チャンバのリッド内のガス注入口と第2ブロッカープレートの間のスペースの容積が増加すると、フィードガスの滞留時間が長くなり、所望されるアミノシラン前駆物質を形成する可能性が増大する。形成された前駆物質の量が増加すると、パターンマイクロローディングの可能性が低下する。即ち、基板の密にパターン形成された領域における前駆物質の消耗が減少する。
[0038]他の前駆物質の流量に相対してNHの流量を増加させると、膜の堆積が増大することもわかった。例えば、従来のシステムでは、NHとSiHの流量が60:1で作動させることができる。試験結果は、リッドと第2ブロッカープレートの間の間隔が増大する場合、60:1〜1000:1の従来の比が均一な膜を与えることを示している。フェースプレートと基板の間に750‐850ミルの間隔を用いると、650ミルで堆積した膜と比べて膜の均一性が高められることが更にわかった。
炭素をドープした窒化ケイ素膜
[0039]一実施形態においては、BTBASは、本明細書に記載されたチャンバ内で炭素をドープした窒化ケイ素膜の堆積のシリコン含有前駆物質として用いることができる。以下は、続いてt‐ブチルアミン副生成物による炭素をドープした窒化ケイ素膜を生成することができる一メカニズムである。BTBASは、その後、t‐ブチルアミンと反応してイソブチレンを形成することができる。
[0040]3C22Si+NH ⇒ Si+NH
[0041]4つの実施例の条件が説明される。圧力、温度、間隔、流量、その他の条件を表1に示す。縦列1は、その他の例よりも低いBTBAS濃度での一組の操作条件を示している。縦列2は、低温度とウェットエッチ速度での操作を示している。縦列5は、最低ウェットエッチ速度と温度を示し、縦列6は、4つの実施例の中で最高の堆積速度と最低のパターンローディング効果の組み合わせの操作パラメータを示している。実施例において、ウエハのヒータ温度は675〜700℃であり、チャンバの圧力は50〜275トールであった。
[0042]炭素をドープした窒化ケイ素膜を形成するためのBTBAS反応は、反応速度が制限されることがあり、物質移動が制限されることはない。パターン形成基板上に形成された膜は、パターン形成基板のさらされた表面を均一に被覆することができる。BTBASは、例えば、SiHの従来のシリコン前駆物質ほど、パターンローディング効果(PLE)をもつことができない。表1は、BTBASとNH化学の側壁PLEを示し、同じチャンバ内でSiHとNHプロセスが15%を上回るのに比べて、5%未満である。いくつかのシリコン含有前駆物質で経験したパターンローディング効果は、例えば、SiHとNHの前駆物質の間の反応の物質移動制限によるものであると考えられる。
Figure 2007515060
[0043]反応性ガスとしてBTBASを用いると、炭素含量の調整も可能になる。即ち、圧力や窒素含有前駆物質ガス濃度のような操作パラメータを選ぶことによって、結果として生じる膜の炭素含量が、基板の直径全体に、所望される炭素含量とより均一な炭素濃度を有する膜を生成するために変更させることができる。BTBASは、0.05〜2.0g/分の速度でシステムに加えることができ、典型的なシステムは、0.3‐0.6g/分を用いることができる。表2は、流量、濃度、3つの構造に対して得られた膜特性を示すものである。
[0044]設計された実験データ分析に基づくC5‐6%とC12‐13%構造は、予想値である。C10.5%の値は、実験結果である。VRは、シリコン基板の熱源サセプタとして用いられた二重ゾーンセラミックヒータの外部ゾーンと内部ゾーンの電圧比を示す。RIは屈折率を示す。WERRは、参考として用いられた熱的に成長した酸化ケイ素膜に相対する窒素膜のウェットエッチ速度比である。
Figure 2007515060
[0045]表3は、異なるプロセス条件に対して、基板全体の様々な点から取った試料の要素組成による要素を示すものである。試料の要素組成は、核反応分析とラザフォード後方散乱分光法によって測定した。
Figure 2007515060
[0046]表3は、基板の表面全体の炭素含量の変化が0.895%であることを示すものである。2〜18原子パーセントの炭素をもつ炭素をドープした窒化ケイ素膜が、本明細書に記載されたチャンバ内で高速度で堆積したことがわかった。
[0047]シリコン含有前駆物質としてBTBASを用いると、得られたいくつかの膜特性の利点が提供される。膜の炭素含量を増大させると、ドーパントの保持や結合プロファイルを改善することができ、デバイスの正のチャネル金属酸化物半導体(PMOS)部分において改善された性能が得られる。プロセスパラメータは、また、改善された応力プロファイルを促進するためのBTBASの使用と合わせた場合に調整することができる。膜応力の増大は、デバイスの負のチャネル金属酸化物半導体(NMOS)部分に対するデバイス性能を改善する。膜応力特性は、チャンバ圧力、全体のフィードガス流、NHとBTBASのフィードガス比率、BTBASの容積分率を調整することによって影響を受ける。
[0048]追加の実験結果は、675℃における膜の不均一性に対する標準偏差が1.5パーセント未満であることを示している。645〜675℃の温度範囲にわたる膜の不均一性の組成の標準偏差もまた1.5パーセント未満であった。粒子汚染は、0.12μm以上で30粒子未満であった。
[0049]ウェットエッチ比は、低濃度NHと低い圧力が選ばれる場合により小さい。試験された圧力範囲は、50〜275トールであった。ウェットエッチ比は、0.3未満として測定された。膜のウェットエッチ比は、膜エッチングと熱酸化物を100:1HFと比較することによって算出した。400オングストロームにおけるRMSの粗さは、0.25nmであった。
[0050]625℃〜675℃についての膜堆積速度は、125〜425オングストロームであった。堆積速度は、高濃度のBTBAS、低NH濃度、より高い圧力と温度が選ばれた場合により高かった。
[0051]膜の水素濃度は、15原子パーセント未満であった。水素は、膜内でN‐Hとしてほとんど結合されることが予想される。膜の炭素含量は、2〜18原子パーセントであった。
[0052]観測された応力は、高NMOSlドライブに対して、1E9〜2E10ダイン/cm(0.1〜2GPa)であった。応力は、高濃度のNH、低濃度のBTBAS、低圧力においてより高かった。
[0053]同じ温度範囲で測定された屈折率は、1.75〜1.95であった。屈折率は、システムがより低い圧力とより低いBTBAS濃度で操作されたときに、より高かった。
[0054]また、観測された又は予想された炭素濃度は、2〜18パーセントの範囲であった。NH濃度が低くBTBAS濃度が高いときに、最も高かった。
[0055]表1の結果は、従来のシステムや類似したシステムと比較することができる。表1におけるウェットエッチ速度比の試験結果は、100:1HF中に1分浸漬する従来の炉システム内で堆積した窒化ケイ素膜と比較することができる。表3の応力試験結果は、0.1〜2.0GPaの結果をもつ類似した操作条件の他の試験結果と似ている。
[0056]典型的には、窒素は、BTBASのガス源からのキャリヤガスと熱CVD反応の希釈ガスの双方として用いられる。希釈ガスとして水素を用いると、BTBASとNH熱CVD反応の堆積速度を30%まで増加させる。希釈ガスとして水素でドープしたゲルマンを用いると、更に堆積速度を増加させることができる。
[0057]BTBASのような前駆物質はシリコンと炭素双方の供給源として作用し、単一ウエハ熱CVDチャンバ内で、シラン、ジシラン、ヘキサクロロジシランとジクロロシランのようなシリコン前駆物質と、エチレン、ブチレンと他のアルケン、又は、他の炭素源のような炭素前駆物質とを合わせ、2つの前駆物質とNHと反応させて炭素をドープした酸化ケイ素膜を形成することが可能である。
炭素をドープした酸化ケイ素膜
[0058]BTBASは、また、いくつかのプロセス化学の可撓性を与える。酸化物をBTBASをベースにしたBTBASの場合、NHは、NOのような酸化剤によって置き換えられ得る。本発明に記載されたハードウェアにおける熱CVDは、酸化膜を堆積させるために使用し得る。
[0059]BTBASのような前駆物質はシリコンと炭素の双方の供給源として作用し、シラン、ジシラン、ヘキサクロロジシラン、ジクロロシランのようなシリコン前駆物質と、エチレン、ブチレン、他のアルケン又は他の炭素源のような炭素前駆物質と合わせ、単一のウエハ熱CVDチャンバ内で、2つの前駆物質をNOと反応させて炭素ドープした酸化シリコンウエハ膜を形成した。
炭素をドープした窒化酸化ケイ素膜
[0060]一般的には、炭素をドープした又は炭素を含有する窒化酸化ケイ素膜は、シリコン含有前駆物質、炭素含有前駆物質、酸素含有前駆物質、窒素含有前駆物質の組合わせを用いて堆積され得る。これらの膜は、炭素含量制御に加え、誘電率制御を可能にするために、次世代デバイスにおける使用の可能性を有する。このような熱的に堆積した低‐kCVD膜は、デバイスにおける潜在的利益になり得る。
[0061]炭素をドープした又は炭素を含有する窒化酸化ケイ素膜を製造するために、BTBASは、NHとNOのような酸化剤と共に用いることができる。本発明に記載されたハードウェアにおける熱CVDは、酸化物窒化膜を堆積させるために使用し得る。
[0062]BTBASのような前駆物質はシリコンと炭素双方の供給源として作用し、単一ウエハ熱CVDチャンバ内で、シラン、ジシラン、ヘキサクロロジシラン、ジクロロシランのようなシリコン前駆物質と、エチレン、ブチレンと他のアルケン又は他の炭素源のような炭素前駆物質とを合わせ、2つの前駆物質とNHとNO双方とを反応させて、炭素をドープした窒化酸化ケイ素膜を形成することが可能である。
[0063]トリメチルシランやテトラメチルシランのような多くの一般に用いられる低k前駆物質は、シリコン、酸素、炭素を含有する。これらの前駆物質は、単一ウエハ熱CVDチャンバ内でNHのような窒素源と反応して炭素をドープした窒化酸化ケイ素膜を形成することができる。
[0064]上記は本発明の実施形態に関するが、本発明の他の多くの実施形態が本発明の基本範囲から逸脱せずに構成されてもよく、本発明の範囲は以下の特許請求によって決定される。
図1は、ガス分配アセンブリと基板支持アセンブリを含む処理チャンバの実施形態の断面図である。 図2は、処理チャンバとプロセスキットの種々の構成成分の分解図である。 図3は、フェースプレートガス注入口の図である。 図4は、スリットバルブライナの3次元図である。 図5は、排気ポンププレートの3次元図である。 図6は、排気ポンププレートのためのカバーの3次元図である。 図7は、単一のウエハ熱CVDプロセスチャンバの代替的プロセスキットとチャンバに対するプロセスガス分配のための液体分配システムの3次元概略図である。 図8は、試料が基板の表面全体に集められた場所を示している基板の表面の図である。
符号の説明
102…混合領域、103…アダプタリング、104…第1ブロッカープレート、105…第2ブロッカープレート、106…壁、108…フェースプレート、109…排気ポンププレート、110…リッド、111…基板支持体、112…排気プレートカバー、113…ミキサ、114…スリットバルブ開口部、115…スリットバルブライナ、202…スペース、203…ヒータジャケット、205…ブロッカープレート、208…フェースプレート、209…リッド、212…断熱素子、213…最初のガス注入口、401…バルクアンプル、402…プロセスアンプル、403…液体流量計、404…蒸発器。

Claims (52)

  1. 半導体基板上に膜を低温堆積するための装置であって、
    処理領域を画成するチャンバ本体とチャンバリッドと、
    該処理領域内に配置された基板支持体と、
    該チャンバリッド上に取付けられたガス分配システムであって、ガス混合領域を画成するアダプタリングと2つのブロッカープレートと、該アダプタリングに固定されたフェースプレートとを備えている、前記ガス分配システムと、
    該アダプタリングを加熱するために配置された加熱素子と、
    を備えている、前記装置。
  2. 該ブロッカープレートの一方が該チャンバリッドに固定され、もう一方のブロッカープレートが該アダプタリングに固定されている、請求項1記載の装置。
  3. 該加熱素子が該アダプタリングと接触している、請求項1記載の装置。
  4. 該フェースプレートが150-250℃に加熱される、請求項1記載の装置。
  5. 該基板支持体が550-800℃に加熱される、請求項1記載の装置。
  6. 該リッドが60-80℃に加熱される、請求項1記載の装置。
  7. 該チャンバ本体内のスリットバルブチャネル内に配置されたスリットバルブライナを更に備えている、請求項1記載の装置。
  8. 該基板支持体を取り囲んでいる排気ポンププレートと該排気ポンププレート上にカバープレートを更に備え、該カバープレートが充分に分配された穴を有する、請求項1記載の装置。
  9. 30-200℃に加熱された排気バルブアセンブリ構成成分を更に備えている、請求項1記載の装置。
  10. 該混合領域と流体で連通している蒸発器を更に備えている、請求項1記載の装置。
  11. 該蒸発器が、ビス(第三級ブチルアミノ)シラン源と流体で連通している、請求項10記載の装置。
  12. 該ガス分配システムが該基板支持体の上方にある、請求項1記載の装置。
  13. 該基板支持体が該フェースプレートの下方にあり、該フェースプレートが該ブロッカープレートの下にある、請求項12記載の装置。
  14. 半導体基板上に膜を低温堆積するための装置であって、
    処理領域を画成するチャンバ本体とチャンバリッドと、
    該リッドに固定された第1ブロッカープレートと、
    該リッドに固定されたアダプタリングと、
    該アダプタリングと接触している加熱素子と、
    該アダプタリングに固定された第2ブロッカープレートと、
    該アダプタリングに固定されたフェースプレートと、
    該処理領域内に配置された基板支持体と、
    を備えている、前記装置。
  15. 該基板支持体を取り囲んでいる排気ポンププレートと、該排気ポンププレート上のカバープレートとを更に備え、該カバープレートが充分に分配された穴を有する、請求項14記載の装置。
  16. 30-200℃に加熱された排気バルブアセンブリ構成成分を更に備えている、請求項14記載の装置。
  17. 該チャンバ本体内のスリットバルブ開口部内に配置されたスリットバルブライナを更に備えている、請求項14記載の装置。
  18. 該混合領域と流体で連通している蒸発器を更に備えている、請求項14記載の装置。
  19. 該蒸発器がビス(第三級ブチルアミノ)シラン源と流体で連通している、請求項18記載の装置。
  20. 該蒸発器がキャリヤガスシステムと流体で連通している、請求項18記載の装置。
  21. 該ガス分配システムがアンモニアとシランとの比を60:1〜1000〜1の比で示している、請求項20記載の装置。
  22. 該ガス分配システムが該基板支持体の上にある、請求項14記載の装置。
  23. 該基板支持体が該フェースプレートの下にあり、該フェースプレートが該ブロッカープレートの下にある、請求項22記載の装置。
  24. 基板上にシリコンと窒素を含む層を堆積させる方法であって、
    ビス(第三級ブチルアミノ)シランを蒸発させるステップと、
    リッド、アダプタリング、少なくとも1つのブロッカープレートで画成された混合領域を有する処理チャンバに該ビス(第三級ブチルアミノ)シランを流すステップと、
    該アダプタリングを加熱するステップと、
    該ビス(第三級ブチルアミノ)シランをガス分配プレートを通って基板の上の処理領域にシリコン、窒素、炭素を含む該層を堆積させるのに充分な条件で流すステップと、
    を含む、前記方法。
  25. 該窒化ケイ素層を550〜800℃の温度で堆積させるステップを更に含む、請求項24記載の方法。
  26. 該窒化ケイ素層を10〜350トールの圧力で堆積させるステップを更に含む、請求項24記載の方法。
  27. 排気ポンププレートと接触しているカバープレートを通ってガスを排気させるステップを更に含む、請求項24記載の方法。
  28. スリットバルブライナを保持するスリットバルブ開口部を通って該処理領域に該基板を導入するステップを更に含む、請求項24記載の方法。
  29. 該混合領域に入る前に、該ビス(第三級ブチルアミノ)シランがアンモニアと混合される、請求項24記載の方法。
  30. アンモニアとビス(第三級ブチルアミノ)シランとの濃度比が0〜100である、請求項29記載の方法。
  31. 該混合領域に入る前に、該ビス(第三級ブチルアミノ)シランが亜酸化窒素と混合される、請求項24記載の方法。
  32. 該混合領域に入る前に、該ビス(第三級ブチルアミノ)シランがアンモニアと亜酸化窒素と混合される、請求項24記載の方法。
  33. 該混合領域に入る前に、該ビス(第三級ブチルアミノ)シランが窒素と混合される、請求項24記載の方法。
  34. 該混合領域に入る前に、該ビス(第三級ブチルアミノ)シランがヘリウムと混合される、請求項24記載の方法。
  35. 該ビス(第三級ブチルアミノ)シランが水素又はゲルマン希釈水素と混合される、請求項24記載の方法。
  36. 該窒化ケイ素層の引張応力が0.1〜2.0GPaである、請求項24記載の方法。
  37. 該窒化ケイ素層の炭素含量の変化が該基板の直径全体で1パーセント未満である、請求項24記載の方法。
  38. 基板上にシリコン、窒素、炭素を含む層を堆積させる方法であって、
    ビス(第三級ブチルアミノ)シランを蒸発させるステップと、
    リッドで画成された混合領域、アダプタリング、少なくとも1つのブロッカープレートを有する処理チャンバに該ビス(第三級ブチルアミノ)シランを流すステップと、
    該アダプタリングを加熱するステップと、
    ガス分配プレートを通って基板の上の処理領域にシリコン、窒素、炭素を含む該層を堆積させるのに充分な条件で該ビス(第三級ブチルアミノ)シランを流すステップと、
    を含む、前記方法。
  39. 該層の炭素含量が2〜18パーセントである、請求項38記載の方法。
  40. 該層が550〜800℃の温度で堆積される、請求項38記載の方法。
  41. 該層が10〜350トールの圧力で堆積される、請求項38記載の方法。
  42. 排気ポンププレートと接触しているカバープレートを通ってガスを排気させるステップを更に含む、請求項38記載の方法。
  43. スリットバルブライナを保持するスリットバルブ開口部を通って該処理領域に基板を導入するステップを更に含む、請求項38記載の方法。
  44. 該混合領域に入る前に、該ビス(第三級ブチルアミノ)シランがアンモニアと混合される、請求項38記載の方法。
  45. アンモニアと該ビス(第三級ブチルアミノ)シランとの濃度比が0:100である、請求項44記載の方法。
  46. 該混合領域に入る前に、該ビス(第三級ブチルアミノ)シランが亜酸化窒素と混合される、請求項38記載の方法。
  47. 該混合領域に入る前に、該ビス(第三級ブチルアミノ)シランがアンモニアと亜酸化窒素と混合される、請求項38記載の方法。
  48. 該混合領域に入る前に、該ビス(第三級ブチルアミノ)シランが窒素と混合される、請求項38記載の方法。
  49. 該混合領域に入る前に、該ビス(第三級ブチルアミノ)シランがヘリウムと混合される、請求項38記載の方法。
  50. 該ビス(第三級ブチルアミノ)シランが水素又はゲルマン希釈水素と混合される、請求項38記載の方法。
  51. 該層の引張応力が0.1〜2.0GPaである、請求項38記載の方法。
  52. 該層の炭素含量の変化が該基板の直径全体で1パーセント未満である、請求項38記載の方法。
JP2006541132A 2003-11-25 2004-08-25 窒化ケイ素の熱化学気相堆積 Expired - Fee Related JP4801591B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US52524103P 2003-11-25 2003-11-25
US60/525,241 2003-11-25
US10/911,208 US20050109276A1 (en) 2003-11-25 2004-08-04 Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US10/911,208 2004-08-04
PCT/US2004/027584 WO2005059200A1 (en) 2003-11-25 2004-08-25 Thermal chemical vapor deposition of silicon nitride

Publications (2)

Publication Number Publication Date
JP2007515060A true JP2007515060A (ja) 2007-06-07
JP4801591B2 JP4801591B2 (ja) 2011-10-26

Family

ID=34595251

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006541132A Expired - Fee Related JP4801591B2 (ja) 2003-11-25 2004-08-25 窒化ケイ素の熱化学気相堆積

Country Status (7)

Country Link
US (2) US20050109276A1 (ja)
EP (1) EP1685272B1 (ja)
JP (1) JP4801591B2 (ja)
KR (3) KR101254115B1 (ja)
CN (2) CN1906326B (ja)
DE (1) DE602004018021D1 (ja)
WO (1) WO2005059200A1 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014504027A (ja) * 2011-01-14 2014-02-13 サイプレス セミコンダクター コーポレイション 多層酸窒化物層を有する酸化物−窒化物−酸化物積層体
US20150187960A1 (en) 2007-05-25 2015-07-02 Cypress Semiconductor Corporation Radical Oxidation Process For Fabricating A Nonvolatile Charge Trap Memory Device
JP2015128170A (ja) * 2006-05-23 2015-07-09 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
US9349824B2 (en) 2007-05-25 2016-05-24 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US9355849B1 (en) 2007-05-25 2016-05-31 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US9929240B2 (en) 2007-05-25 2018-03-27 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
JP2019507956A (ja) * 2016-02-26 2019-03-22 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法
US12009401B2 (en) 2022-09-26 2024-06-11 Longitude Flash Memory Solutions Ltd. Memory transistor with multiple charge storing layers and a high work function gate electrode

Families Citing this family (257)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7001844B2 (en) * 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7371649B2 (en) * 2005-09-13 2008-05-13 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
US7498270B2 (en) * 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7294581B2 (en) * 2005-10-17 2007-11-13 Applied Materials, Inc. Method for fabricating silicon nitride spacer structures
US7416995B2 (en) * 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7465669B2 (en) * 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US20070238254A1 (en) * 2006-03-28 2007-10-11 Applied Materials, Inc. Method of etching low dielectric constant films
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080026517A1 (en) * 2006-07-28 2008-01-31 Grudowski Paul A Method for forming a stressor layer
CN101165868B (zh) * 2006-10-20 2010-05-12 北京北方微电子基地设备工艺研究中心有限责任公司 晶片处理室的内衬及包含该内衬的晶片处理室
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7922863B2 (en) * 2006-12-22 2011-04-12 Applied Materials, Inc. Apparatus for integrated gas and radiation delivery
US7678698B2 (en) * 2007-05-04 2010-03-16 Freescale Semiconductor, Inc. Method of forming a semiconductor device with multiple tensile stressor layers
US20090181553A1 (en) * 2008-01-11 2009-07-16 Blake Koelmel Apparatus and method of aligning and positioning a cold substrate on a hot surface
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
JP5439771B2 (ja) * 2008-09-05 2014-03-12 東京エレクトロン株式会社 成膜装置
US20110045182A1 (en) * 2009-03-13 2011-02-24 Tokyo Electron Limited Substrate processing apparatus, trap device, control method for substrate processing apparatus, and control method for trap device
JP5822823B2 (ja) * 2009-04-21 2015-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 膜厚不均一性および粒子性能を改善するcvd装置
US20110101442A1 (en) 2009-11-02 2011-05-05 Applied Materials, Inc. Multi-Layer Charge Trap Silicon Nitride/Oxynitride Layer Engineering with Interface Region Control
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
WO2012029709A1 (ja) * 2010-08-31 2012-03-08 株式会社島津製作所 非晶質窒化珪素膜およびその製造方法
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5730638B2 (ja) 2011-03-28 2015-06-10 東京エレクトロン株式会社 基板処理装置の処理室内構成部材及びその温度測定方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
CN102828167B (zh) * 2011-06-13 2015-02-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种排气方法、装置及基片处理设备
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9234278B2 (en) * 2012-01-20 2016-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. CVD conformal vacuum/pumping guiding design
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10269593B2 (en) * 2013-03-14 2019-04-23 Applied Materials, Inc. Apparatus for coupling a hot wire source to a process chamber
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
CN104120403B (zh) * 2014-07-23 2016-10-19 国家纳米科学中心 一种氮化硅膜材料及其制备方法
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102438139B1 (ko) 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10954594B2 (en) * 2015-09-30 2021-03-23 Applied Materials, Inc. High temperature vapor delivery system and method
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
CN108394876B (zh) * 2017-02-07 2021-04-02 新疆晶硕新材料有限公司 氮硅烷及其生产方法、氮化硅及其生产方法
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US20200043722A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Cvd based spacer deposition with zero loading
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11456173B2 (en) 2019-04-08 2022-09-27 Applied Materials, Inc. Methods for modifying photoresist profiles and tuning critical dimensions
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
KR20210158409A (ko) * 2019-05-22 2021-12-30 어플라이드 머티어리얼스, 인코포레이티드 경사면 에칭 챔버를 위한 가열기 지지 키트
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202200817A (zh) 2020-06-17 2022-01-01 美商應用材料股份有限公司 高溫化學氣相沉積蓋
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
CN111996590B (zh) * 2020-08-14 2021-10-15 北京北方华创微电子装备有限公司 一种工艺腔室
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
CN112553594B (zh) * 2020-11-19 2022-10-21 北京北方华创微电子装备有限公司 反应腔室和半导体工艺设备
US20230073150A1 (en) * 2021-09-09 2023-03-09 Applied Materials, Inc. Heated lid for a process chamber
TWI790061B (zh) * 2021-12-24 2023-01-11 天虹科技股份有限公司 用以改善基板溫度分布的薄膜沉積機台

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01119674A (ja) * 1987-07-10 1989-05-11 Anelva Corp 成膜装置および方法
JPH0230125A (ja) * 1988-07-19 1990-01-31 Tokyo Electron Ltd プラズマ処理装置
JPH02163379A (ja) * 1988-12-16 1990-06-22 Anelva Corp 薄膜作製方法および装置
JPH08143737A (ja) * 1994-11-21 1996-06-04 Kanegafuchi Chem Ind Co Ltd 熱可塑性樹脂組成物
JP2000114179A (ja) * 1998-09-30 2000-04-21 Applied Materials Inc 半導体製造装置
JP2001156067A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2001156065A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP2001185492A (ja) * 1999-12-24 2001-07-06 Hitachi Kokusai Electric Inc 半導体製造装置
WO2002029877A1 (fr) * 2000-10-02 2002-04-11 Tokyo Electron Limited Dispositif de traitement par depression
JP2002129338A (ja) * 2000-10-25 2002-05-09 Sony Corp 加熱を伴う処理装置
JP2002145295A (ja) * 2000-06-22 2002-05-22 Applied Materials Inc 半導体処理チャンバー用蓋体
JP2002353209A (ja) * 2001-05-09 2002-12-06 Asm Japan Kk 半導体装置用の低誘電率絶縁膜を形成する方法
JP2002359233A (ja) * 2001-06-01 2002-12-13 Hitachi Ltd プラズマ処理装置
JP2003253448A (ja) * 2002-02-28 2003-09-10 Hitachi Kokusai Electric Inc 基板処理装置
US20030172872A1 (en) * 2002-01-25 2003-09-18 Applied Materials, Inc. Apparatus for cyclical deposition of thin films

Family Cites Families (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US203255A (en) * 1878-05-07 Improvement in bale-ties
US164890A (en) * 1875-06-22 Improvement in cartridge-boxes
US4496609A (en) * 1969-10-15 1985-01-29 Applied Materials, Inc. Chemical vapor deposition coating process employing radiant heat and a susceptor
JPH0824191B2 (ja) * 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US6379466B1 (en) * 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
US5300322A (en) * 1992-03-10 1994-04-05 Martin Marietta Energy Systems, Inc. Molybdenum enhanced low-temperature deposition of crystalline silicon nitride
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5511799A (en) * 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
US5676205A (en) * 1993-10-29 1997-10-14 Applied Materials, Inc. Quasi-infinite heat source/sink
US5753891A (en) * 1994-08-31 1998-05-19 Tokyo Electron Limited Treatment apparatus
US5894887A (en) * 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US5772773A (en) * 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US6116184A (en) * 1996-05-21 2000-09-12 Symetrix Corporation Method and apparatus for misted liquid source deposition of thin film with reduced mist particle size
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5977519A (en) * 1997-02-28 1999-11-02 Applied Komatsu Technology, Inc. Heating element with a diamond sealing material
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6018616A (en) * 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6202656B1 (en) * 1998-03-03 2001-03-20 Applied Materials, Inc. Uniform heat trace and secondary containment for delivery lines for processing system
JP4214585B2 (ja) * 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6572814B2 (en) * 1998-09-08 2003-06-03 Applied Materials Inc. Method of fabricating a semiconductor wafer support chuck apparatus having small diameter gas distribution ports for distributing a heat transfer gas
JP4021593B2 (ja) * 1998-09-25 2007-12-12 株式会社東芝 半導体装置およびその製造方法
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6462371B1 (en) * 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
US6351013B1 (en) * 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6548414B2 (en) * 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
KR100390822B1 (ko) * 1999-12-28 2003-07-10 주식회사 하이닉스반도체 이미지센서에서의 암전류 감소 방법
JP3819660B2 (ja) * 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6261408B1 (en) * 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6518626B1 (en) * 2000-02-22 2003-02-11 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective of etchants
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6271054B1 (en) * 2000-06-02 2001-08-07 International Business Machines Corporation Method for reducing dark current effects in a charge couple device
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
SG89410A1 (en) * 2000-07-31 2002-06-18 Hitachi Ulsi Sys Co Ltd Manufacturing method of semiconductor integrated circuit device
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6583343B1 (en) * 2000-12-22 2003-06-24 Pioneer Hi-Bred International, Inc. Soybean variety 91B12
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6709721B2 (en) * 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6566246B1 (en) * 2001-05-21 2003-05-20 Novellus Systems, Inc. Deposition of conformal copper seed layers by control of barrier layer morphology
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6555166B2 (en) * 2001-06-29 2003-04-29 International Business Machines Method for reducing the microloading effect in a chemical vapor deposition reactor
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6559074B1 (en) * 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US20030124818A1 (en) * 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US20030216981A1 (en) * 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (ja) * 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US6613637B1 (en) * 2002-05-31 2003-09-02 Lsi Logic Corporation Composite spacer scheme with low overlapped parasitic capacitance
US20040033677A1 (en) * 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
JP4265409B2 (ja) * 2003-02-13 2009-05-20 三菱マテリアル株式会社 Si−Si結合を有する有機Si含有化合物を用いたSi含有薄膜の形成方法
US7031600B2 (en) * 2003-04-07 2006-04-18 Applied Materials, Inc. Method and apparatus for silicon oxide deposition on large area substrates
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01119674A (ja) * 1987-07-10 1989-05-11 Anelva Corp 成膜装置および方法
JPH0230125A (ja) * 1988-07-19 1990-01-31 Tokyo Electron Ltd プラズマ処理装置
JPH02163379A (ja) * 1988-12-16 1990-06-22 Anelva Corp 薄膜作製方法および装置
JPH08143737A (ja) * 1994-11-21 1996-06-04 Kanegafuchi Chem Ind Co Ltd 熱可塑性樹脂組成物
JP2000114179A (ja) * 1998-09-30 2000-04-21 Applied Materials Inc 半導体製造装置
JP2001156065A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP2001156067A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2001185492A (ja) * 1999-12-24 2001-07-06 Hitachi Kokusai Electric Inc 半導体製造装置
JP2002145295A (ja) * 2000-06-22 2002-05-22 Applied Materials Inc 半導体処理チャンバー用蓋体
WO2002029877A1 (fr) * 2000-10-02 2002-04-11 Tokyo Electron Limited Dispositif de traitement par depression
JP2002129338A (ja) * 2000-10-25 2002-05-09 Sony Corp 加熱を伴う処理装置
JP2002353209A (ja) * 2001-05-09 2002-12-06 Asm Japan Kk 半導体装置用の低誘電率絶縁膜を形成する方法
JP2002359233A (ja) * 2001-06-01 2002-12-13 Hitachi Ltd プラズマ処理装置
US20030172872A1 (en) * 2002-01-25 2003-09-18 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
JP2003253448A (ja) * 2002-02-28 2003-09-10 Hitachi Kokusai Electric Inc 基板処理装置

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015128170A (ja) * 2006-05-23 2015-07-09 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
US10374067B2 (en) 2007-05-25 2019-08-06 Longitude Flash Memory Solutions Ltd. Oxide-nitride-oxide stack having multiple oxynitride layers
US11222965B2 (en) 2007-05-25 2022-01-11 Longitude Flash Memory Solutions Ltd Oxide-nitride-oxide stack having multiple oxynitride layers
US10446656B2 (en) 2007-05-25 2019-10-15 Longitude Flash Memory Solutions Ltd. Memory transistor with multiple charge storing layers and a high work function gate electrode
US10896973B2 (en) 2007-05-25 2021-01-19 Longitude Flash Memory Solutions Ltd. Oxide-nitride-oxide stack having multiple oxynitride layers
US10593812B2 (en) 2007-05-25 2020-03-17 Longitude Flash Memory Solutions Ltd. Radical oxidation process for fabricating a nonvolatile charge trap memory device
US9929240B2 (en) 2007-05-25 2018-03-27 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US11784243B2 (en) 2007-05-25 2023-10-10 Longitude Flash Memory Solutions Ltd Oxide-nitride-oxide stack having multiple oxynitride layers
US10304968B2 (en) 2007-05-25 2019-05-28 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US10312336B2 (en) 2007-05-25 2019-06-04 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US11721733B2 (en) 2007-05-25 2023-08-08 Longitude Flash Memory Solutions Ltd. Memory transistor with multiple charge storing layers and a high work function gate electrode
US9349824B2 (en) 2007-05-25 2016-05-24 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US9355849B1 (en) 2007-05-25 2016-05-31 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US10903342B2 (en) 2007-05-25 2021-01-26 Longitude Flash Memory Solutions Ltd. Oxide-nitride-oxide stack having multiple oxynitride layers
US10903068B2 (en) 2007-05-25 2021-01-26 Longitude Flash Memory Solutions Ltd. Oxide-nitride-oxide stack having multiple oxynitride layers
US11056565B2 (en) 2007-05-25 2021-07-06 Longitude Flash Memory Solutions Ltd. Flash memory device and method
US20150187960A1 (en) 2007-05-25 2015-07-02 Cypress Semiconductor Corporation Radical Oxidation Process For Fabricating A Nonvolatile Charge Trap Memory Device
US11456365B2 (en) 2007-05-25 2022-09-27 Longitude Flash Memory Solutions Ltd. Memory transistor with multiple charge storing layers and a high work function gate electrode
JP2014504027A (ja) * 2011-01-14 2014-02-13 サイプレス セミコンダクター コーポレイション 多層酸窒化物層を有する酸化物−窒化物−酸化物積層体
JP2019507956A (ja) * 2016-02-26 2019-03-22 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法
US12009401B2 (en) 2022-09-26 2024-06-11 Longitude Flash Memory Solutions Ltd. Memory transistor with multiple charge storing layers and a high work function gate electrode

Also Published As

Publication number Publication date
WO2005059200A1 (en) 2005-06-30
EP1685272B1 (en) 2008-11-26
JP4801591B2 (ja) 2011-10-26
DE602004018021D1 (de) 2009-01-08
KR101216203B1 (ko) 2012-12-27
CN102586757B (zh) 2014-09-03
US20060102076A1 (en) 2006-05-18
CN1906326B (zh) 2012-05-16
KR20060113959A (ko) 2006-11-03
CN1906326A (zh) 2007-01-31
EP1685272A1 (en) 2006-08-02
KR101254115B1 (ko) 2013-04-12
KR101216202B1 (ko) 2012-12-27
CN102586757A (zh) 2012-07-18
KR20120008074A (ko) 2012-01-25
KR20110139323A (ko) 2011-12-28
US20050109276A1 (en) 2005-05-26

Similar Documents

Publication Publication Date Title
JP4801591B2 (ja) 窒化ケイ素の熱化学気相堆積
EP1470264B1 (en) Methods for silicon oxide and oxynitride deposition using single wafer low pressure cvd
US6528116B1 (en) Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
US6465372B1 (en) Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US20070082507A1 (en) Method and apparatus for the low temperature deposition of doped silicon nitride films
US6884464B2 (en) Methods for forming silicon comprising films using hexachlorodisilane in a single-wafer deposion chamber
WO2004010467A2 (en) Low temperature dielectric deposition using aminosilane and ozone
KR20090130359A (ko) 유기아미노실란 전구체로부터 산화규소 막을 형성시키는 방법
US11791136B2 (en) Deposition radial and edge profile tunability through independent control of TEOS flow
CN101765904B (zh) 一种半导体的成膜技术
EP1788118A2 (en) Thermal chemical vapor deposition of silicon nitride
US7763327B2 (en) Methods using ozone for CVD deposited films
KR20050018641A (ko) 아미노실란 및 오존을 이용한 저온 유전체 증착
WO2002080257A1 (fr) Procede de formation de film et dispositif de formation de film
JP2023540432A (ja) N型ドープ炭化ケイ素及びエピタキシャル反応器のcvd堆積方法
CN102543850A (zh) 处理低k介电膜的方法
JPH09306906A (ja) プラズマCVDによるSiO2絶縁膜の形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070808

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100712

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100720

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101020

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101027

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101029

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101124

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110223

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110302

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110523

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110726

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110805

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140812

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees