JP5822823B2 - 膜厚不均一性および粒子性能を改善するcvd装置 - Google Patents

膜厚不均一性および粒子性能を改善するcvd装置 Download PDF

Info

Publication number
JP5822823B2
JP5822823B2 JP2012507307A JP2012507307A JP5822823B2 JP 5822823 B2 JP5822823 B2 JP 5822823B2 JP 2012507307 A JP2012507307 A JP 2012507307A JP 2012507307 A JP2012507307 A JP 2012507307A JP 5822823 B2 JP5822823 B2 JP 5822823B2
Authority
JP
Japan
Prior art keywords
lid
assembly
coupled
gas
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2012507307A
Other languages
English (en)
Other versions
JP2012525005A (ja
Inventor
ビン トラン,
ビン トラン,
アンチン クィ,
アンチン クィ,
バーナード, エル. ファン,
バーナード, エル. ファン,
ソン, ティー. グエン,
ソン, ティー. グエン,
アン, エヌ. グエン,
アン, エヌ. グエン,
ショーン, エム. スーター,
ショーン, エム. スーター,
シャンジー タオ,
シャンジー タオ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012525005A publication Critical patent/JP2012525005A/ja
Application granted granted Critical
Publication of JP5822823B2 publication Critical patent/JP5822823B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Description

本発明の諸実施形態は、一般に半導体基板処理機器および技法に関する。
集積回路は、化学気相成長法を含む様々な技法によって堆積される材料からなる多数の層を含む。そのため、化学気相成長法、すなわちCVDによる半導体基板上への材料の堆積は、集積回路を製造する工程における重要なステップである。典型的なCVDチャンバは、処理中に基板を加熱するための加熱基板支持部と、処理ガスをチャンバ内に導入するためのガスポートと、チャンバ内部の処理圧力を維持し、過剰ガスを除去し、あるいは副生成物を処理するためのポンピングポートとを有しうる。本発明者らは、プロセスチャンバ内に導入されポンピングポートに向かうガスの流れパターンにより、基板上に均一な堆積プロファイルを維持することが困難であることを確認した。加えて、チャンバ内部構成要素の放射率の相違により、チャンバ内部の、したがって基板上の熱分布プロファイルが不均一になる。また、熱の不均一性は、チャンバの典型的な非対称設計によっても引き起こされる(例えば、一方の側面に基板の出し入れ用のスリットバルブが含まれ、ポンピングポートは一般に、チャンバの反対側面に配置される)。本発明者らはさらに、基板の表面全体にわたる熱分布プロファイルのこのような不均一性がさらに、基板上の材料堆積の不均一性につながることも確認した。これはひいては、その後の処理の前に基板を平坦化すること、さもなければ修理することでこうむる、さらなるコストになり、または集積回路全体の故障が起こりうることになる。
このため、本発明者らは、CVDチャンバ内で基板上に材料を均一に堆積するための改善された装置を実現した。
本発明の諸実施形態では、化学気相成長(CVD)法などにより基板上に層を堆積するための改善された装置を提示する。本明細書で開示される本発明の装置では有利には、膜厚の不均一性が低減された1つまたは複数の膜を所与のプロセスチャンバ内部で堆積すること、粒子性能の改善(例えば、プロセスチャンバ内で形成される膜上の粒子の縮小)、複数のプロセスチャンバ間でのチャンバとチャンバの動作整合、およびプロセスチャンバ保守性の改善をしやすくすることができる。
いくつかの実施形態では、基板を処理する装置は、下部アセンブリおよびこの下部アセンブリにヒンジを介して可動結合された上部アセンブリを有するプロセスチャンバを備えており、下部アセンブリは、基板支持アセンブリを中に配置したチャンバ本体を含み、上部アセンブリは蓋アセンブリを含み、この装置はさらに、ガスパネルからプロセスチャンバの内側までのガスの流れを助長するチャンバ本体および蓋に結合されたガスフィードスルーを備え、ガスフィードスルーは、蓋アセンブリに結合された上部本体、およびチャンバ本体に結合された下部本体を備え、上部本体は1つまたは複数の上部ポートを含み、下部本体は、対応する1つまたは複数の下部本体を含み、蓋が閉じた位置にあるときに、1つまたは複数の上部ポートが、対応する1つまたは複数の下部ポートと合わさる。
これらおよびその他の利点を以下でより詳細に説明する。
本発明の上述の特徴が細部に理解できるように、上記で簡潔に要約された本発明のより具体的な説明は、添付の図面に一部が例示されている諸実施形態を参照することにより得ることができる。しかし、添付の図面は、本発明の典型的な諸実施形態だけを例示しており、したがって、本発明の範囲を限定するとは、本発明が他の同様に効果的な諸実施形態を許容できるので、考えられるべきではないことを理解されたい。
本発明のいくつかの実施形態による例としての化学気相成長チャンバの簡略断面図である。 本発明のいくつかの実施形態による単一ウェハ熱CVDプロセスチャンバの処理キットの斜視図である。 本発明のいくつかの実施形態による図1に示されたガス供給システムの部分の分解組立図である。 本発明のいくつかの実施形態による面板の上面図である。 図1に表された回転基板支持部の概略断面図である。 本発明のいくつかの実施形態による、蓋が開いている化学気相成長チャンバの概略斜視図である。 本発明のいくつかの実施形態による蓋支持部およびヒンジアセンブリの概略斜視図である。 本発明のいくつかの実施形態による、蓋が閉じている図6の化学気相成長チャンバの概略斜視図である。 本発明のいくつかの実施形態によるガス供給システム部分の上部断面図である。 本発明のいくつかの実施形態によるガス供給システム部分の断面図である。 本発明のいくつかの実施形態による面板延長部分の一構成を表す図である。 本発明のいくつかの実施形態による排気ポンピングプレートの斜視図である。 本発明のいくつかの実施形態による排気ポンピングプレート用カバーの斜視図である。 本発明のいくつかの実施形態によるスリットバルブライナの斜視図である。
可能な場合、本明細書では、各図で共通の要素を指定するために同一の参照数字が使用される。図面中に使用された画像は、説明のために簡略化されていることがあり、また必ずしも原寸に比例して表されていない。
本発明の諸実施形態は、基板上に層を堆積するための改善された装置を提示する。化学気相成長(CVD)法、減圧化学気相成長(SACVD)法、急熱化学気相成長(RTCVD)法、および低圧化学気相成長(LPCVD)法はすべて、本発明の装置内で有益に実施できる堆積方法である。本明細書で提示される教示により修正することができるCVD処理チャンバの例としては、SiNgen(登録商標)チャンバ、SiNgen(登録商標)−Plusチャンバ、BTBASチャンバ、およびPOLYGEN(商標)チャンバが含まれ、これらのすべてがSanta Clara、CaliforniaのApplied Materials,Incから市販されている。
本明細書で開示される本発明の装置では有利には、膜厚の不均一性が低減された1つまたは複数の膜を所与のプロセスチャンバ内部で堆積すること、粒子性能の改善(例えば、プロセスチャンバ内で形成される膜上の粒子の縮小)、複数のプロセスチャンバ間でのチャンバとチャンバの動作整合、およびプロセスチャンバの保守性の改善をしやすくすることができる。これらおよびその他の利点を以下でより詳細に説明する。
図1は、単一ウェハCVD反応器100の一実施形態の断面図である。いくつかの実施形態では、図1に表されているように、反応器100は、処理チャンバ150、電源116、ガスパネル136、ポンピングシステム138、およびコントローラ146を含む。
処理チャンバ150は一般に、下部アセンブリ154、上部アセンブリ152、およびペデスタルリフトアセンブリ131を含む。処理チャンバ150は、以下でさらに説明するリフレクタプレートなどの追加の装置、あるいは熱伝達を促進するように適合した他の機構、チャンバ状態を測定するためのプローブ、排気アセンブリ、ならびに基板を支持し、チャンバ環境を制御するための他の機器を含むことができる。
下部アセンブリ154には、処理チャンバ150の内部を部分的に画定する壁106を有するチャンバ本体156が含まれる。壁106は、ほぼ円筒形とし、蓋110によって上端で閉じることができる。壁106の各部分は、熱に関して調節することができる。例えば、いくつかの実施形態では、複数の導管(図示せず)を壁106の中に配置し、熱伝達流体を循環させるように構成して壁の温度を調節することができる。
基板支持アセンブリ111は、処理中に基板(図示せず)を支持するために、下部アセンブリ154の中に配置される。基板支持アセンブリ111は、基板の温度および/または処理チャンバ150の内部容積中の温度を調節するように構成されたヒータ120を含むことができる。ヒータ120は電源116に結合され、いくつかの実施形態では、基板122を約800℃までのある温度に維持する能力がある。
スリットバルブ開口114をチャンバ本体156の壁106の中に配置して、基板を処理チャンバ150に出し入れしやすくすることができる。いくつかの実施形態では、スリットバルブライナ115が、スリットバルブ開口114による熱損失を低減するために使用されることがある。例えば、図12は、本発明のいくつかの実施形態による図1のスリットバルブライナ115の三次元図を表す。スリットバルブライナ115は、処理ガス流を導き、スリットバルブを介する熱伝達を低減することによって、スリットバルブ開口114による熱損失を低減することができる。
再び図1を参照すると、上部アセンブリ152は一般に、蓋110を含み、さらに、後述のように、ガス供給入口、ガス混合器、遠隔プラズマ源、および1つまたは複数のガス分配板を含むことができる。蓋110は、ヒンジまたは他の適切な機構によって、下部アセンブリ154に可動結合することができる。
例えば、図6は、本発明のいくつかの実施形態による処理チャンバ650の簡略斜視図を表す。プロセスチャンバ650は、図1に示されたプロセスチャンバ150と類似の特徴を有する。いくつかの実施形態では、図6に表されているように、蓋110は、蓋支持部とヒンジのアセンブリ611(図6Aにも示す)によって下部アセンブリ154のチャンバ本体156に結合することができる。アセンブリ611は、ヒンジロッド621を介して上部アーム622のそれぞれの対と結合された下部アーム620の対を含む。下部アーム620は、チャンバ本体156の下部アセンブリ154と結合することができる。上部アーム622は、チャンバ本体156の上部アセンブリ152と結合することができ、また蓋を上部アセンブリ152に(例えばボルトを用いて)固定するための1つまたは複数の蓋支持板626を含むことができる。
取っ手614を設けて、蓋110を開閉しやすくすることができる。重くなりうる蓋110の開閉をしやすくするために、また蓋110、チャンバ本体156の損傷、および/または操作員の被害を防止するために、ガス充填ピストン612などの機構をヒンジ610の片側または両側に設け、かつ蓋110およびチャンバ本体156に結合して、蓋110の重量の大部分を支持し、蓋110があまりに速く閉じないようにすることができる。いくつかの実施形態では、蓋110を閉じるときにさらに緩衝を施すためにショックアブソーバ624を設けることがある。
いくつかの実施形態では、蓋支持部とヒンジのアセンブリ611は、蓋110を浮動可能に構成し、それによって、蓋110をチャンバ本体156とよりよく位置合わせしやすくし、これらの間をよりよく封止することができる(例えば、これらの間に配置された、図1および図6に示されているOリング153などのシールまたはガスケットに、より均一に圧力をかけやすくすることによって)。チャンバ本体156への蓋110の取付けがこのように改善されると、有利には、漏洩の危険が低減する。いくつかの実施形態では、複数の蓋支持ピン628が蓋支持板626から垂直に延びてリニアベアリングとして機能することができ、その上で蓋110は動くことができて、浮動できやすくなる。
いくつかの実施形態では、ヒンジ610は、例えばクラスタツールの移送チャンバ(図示せず)に隣接するチャンバ本体156の側面に配置することができ、移送チャンバにはCVD反応器100が取り付けられる(例えば、ヒンジ610は、スリットバルブ開口114を含むチャンバ本体156の側面に配置することができる)。このような構成では、例えばチャンバを保守するためのチャンバへのアクセスが有利に改善される。例えば、このような構成では、CVD反応器の蓋110は、操作するためにより広い空間を使用できる、クラスタツールに対向する側から開くことができる。
再び図1を参照すると、蓋110はさらに、蓋110を所望の温度に維持するのを助けるために、熱伝達流体(水など)を流す1つまたは複数の溝またはチャネル178を含むことができる。いくつかの実施形態では、熱伝達流体をチャネル178との間に通すために、マニホルド180を設けることがある。いくつかの実施形態では、マニホルド180は一体型とすることができ、蓋110に溶接して熱伝達流体のいかなる漏洩も最小限にすることができる。マニホルド180は、その中に通して配置された1つまたは複数の通路184を含み、通路184はまた、チャネル178のうちの1つ以上と流体連通して蓋110の中に形成された1つまたは複数の対応する通路182と並べて配置されている。
ガスパネル136は、処理化学物質を液体および/または気体の形で処理チャンバ150に供給する。ガスパネル136は、複数のガスラインを使用して蓋110に結合される。各ガスラインは、特定の化学物質(1つまたは複数)をガスパネル136から入口ポート158まで移送するように選択的に適合させることができるとともに、温度制御することができる。いくつかの実施形態では、1つまたは複数のガス供給入口162を、プロセスチャンバ150に処理化学物質を送出しやすくするように蓋110の上面に結合された蓋マニホルド164の中に設けることができる。いくつかの実施形態では、複数のガス供給入口162は、複数の供給ガスを処理チャンバ150まで送出するように設けることができる。供給ガスは、それぞれのガス源(ガスパネル136など)から、ガス供給入口162に結合された1つまたは複数のガスフィードスルー(図1に示されていない)を通して供給することができる。
従来のチャンバの中には、ガスフィードスルーが一部は本体156内に、一部は蓋110内に設けられ、それによって正確な位置合わせが漏洩、汚染、および/または不正確なガス流を回避するために必要になるものがある。しかし、本発明のいくつかの実施形態では、1つまたは複数のガスフィードスルーは、本体および蓋の外部に設けることができる。
例えば、図6は、本発明のいくつかの実施形態による、蓋が開いた位置にある処理チャンバ650の簡略斜視図を表す(図7は、蓋が閉じた位置にある処理チャンバ650を表す)。プロセスチャンバ650は、図1で説明したプロセスチャンバ150と類似した特徴を有する。いくつかの実施形態では、プロセスチャンバ650は、本体156および蓋110の外側にある、またはこれらに対して調整可能であるガスフィードスルー602を含む。各ガスフィードスルー602は、1つまたは複数のポート608を有する上部本体604と、蓋110が閉じた位置にあるときに合わさる1つまたは複数の対応するポート608を有する下部本体606とを含む。シール(ガスケットまたはOリングなど(図示せず))を上部本体604と下部本体608の間に設けて、供給ガス漏洩を防ぎやすくすることができる。いくつかの実施形態では、対応するそれぞれの対の上部本体604および下部本体606のうち少なくとも一方を、蓋110が閉じた位置にあるときにこれらの間の結合を微調整しやすいように調整可能にし、それによって、Oリングまたはシールの寸法の相違、サイクル間での蓋位置合わせの相違などの補償を可能にする。さらに、周辺の位置にあるガスフィードスルー602では、チャンバ本体のもっと内側の位置に配置されたフィードスルーと比較して、漏洩がないか調べやすくなる。
従来の設計の中には、ガスフィードスルーから蓋マニホルドまで結合される処理ガスが、それぞれのチャネルを介した漏洩を防止するために各端部にOリングがある個別のチャネル経由で送られるものがある。しかし、このような設計では、より多くの接続部を設けることによって故障の起こりうる箇所が増えるだけでなく、いくつかのOリングが遠隔プラズマ源からのプラズマ流の流れに近接したままになり(動作時に)、そのためこれらのOリングが時期尚早に損耗および/または損傷することになりうる。
本発明のいくつかの実施形態では、図8に示されているように、ガスフィードスルー602に供給される多数のガスを蓋マニホルド164まで単一の導管802経由で送ることができる(例えば、多数のガス入口810、812を導管802に結合することができる)。導管802により、その中を拡散によって流れる各処理ガスの混合度合いを向上させることができる。いくつかの実施形態では、取外し可能な混合器814を設けて、処理ガスの混合度合いを向上させることができる。いくつかの実施形態では、導管802は、ガス供給口162を通って蓋マニホルドに入るガスが渦を生じやすいように、蓋マニホルド164において非垂直に終端することができる。いくつかの実施形態では、ガス導管802は、蓋マニホルド164から延びそれと一体化して形成されるアーム804の中に形成することができる(それによって、導管802経由で送られる処理ガスに対する、蓋マニホルド164に近接するOリングが不要になる)。
いくつかの実施形態では、導管802を通って流れるガスを加熱するためにヒータ818を設けることができる。このヒータは、抵抗ヒータなど任意の適切なヒータでよく、アーム804の外面に結合すること、アーム804の開口(図示せず)に挿入すること、あるいはアーム804の中に配置することができる。いくつかの実施形態では、導管802を通って流れるガスの温度に対応するデータを得るために、センサ816を設けることができる。いくつかの実施形態では、センサ816は熱電対とすることができる。いくつかの実施形態では、アーム804は、アーム804から蓋110への熱の熱伝達を最小限にするために、蓋110から間隔をおいて配置されることがある。
ガスフィードスルー602の上部本体604は、アーム804にボルトなど(図示せず)任意の適切な方法で結合することができ、その接合部からのガス漏洩を低減または除去しやすくするために、これらの間にOリング808を含むことができる。蓋マニホルド164に近接する(また遠隔プラズマ源からのプラズマ流に近接する)Oリングを不要にすることによって、本発明の設計ではこのようなOリングが損傷する危険がなくなるが、Oリングがあれば、微粒子がガス流に入り、かつ/またはチャンバ外へガスが漏洩することにもなりうる。その上、ガス供給システムのOリングの数を減らすことによって、本発明はさらに、Oリングの破損による漏洩または微粒子の危険を低減する。
蓋マニホルドおよび遠隔プラズマ源アセンブリをより詳細に表す図1および図8Aを参照すると、蓋マニホルド164はさらに、遠隔プラズマ源(遠隔プラズマ源の出口168だけを示す)に結合する開口166を含むことができる。支持ブラケット170を設けて、遠隔プラズマ源を蓋110に固定することができる。クランプ174を設けて、遠隔プラズマ源を蓋マニホルド164に固定することができる。いくつかの実施形態では、クランプ174はKF型クランプとすることができる。出口168は、蓋マニホルド164の上面に押し付けるための接触面を有することができる。Oリング172などのガスケットを遠隔プラズマ源の出口168と蓋マニホルド164の間に設けて、これらの間での漏洩を防止することができる。クランプ174によりクランプ力を直接Oリング172に加えると、漏洩の可能性を低減する良好なシールを生成しやすく、かつ維持しやすくなる。
再び図1を参照すると、蓋マニホルド164は一般に、処理材料を(例えば、ガス入口162および/または遠隔プラズマ源から)蓋110を通してプロセスチャンバに供給する。いくつかの実施形態では、蓋110は、入口ポート158および混合器113を含むことができる。いくつかの実施形態では、混合器113は、処理材料を処理チャンバ150に供給するためにシャワーヘッドに通じている。シャワーヘッドは、複数の開口を通して、ガスパネル136から送出されたガスまたは蒸気を分配する。開口のサイズ、形状、数および位置は、処理チャンバ150内に配置された基板へのガス/蒸気流を所定のパターンにしやすいように選択的に選ばれる。
例えば、処理中に供給ガスが、入口ポート158を通過して蓋110の中の混合器113および第1の遮断板104の孔(図示せず)に至る前に、ガス供給システム(例えば、ガスパネル136および付随の装置)を通って処理チャンバ150に入る可能性がある。次に、供給ガスは、第1の遮断板104と第2の遮断板105の間に作り出された混合領域102を通って進む。第2の遮断板105は、構造的に面板延長部103によって支持されている。供給ガスが第2の遮断板105の孔(図示せず)を通過した後、供給ガスは、面板108の孔(図示せず)を通って流れ、チャンバ壁106、面板108および基板支持アセンブリ111によって画定された主処理領域に入る。任意選択で、処理チャンバ150は、チャンバ壁106の上面と、混合領域102を加熱する面板延長部103に熱を供給するために加熱される蓋110との間に配置された挿入物101を含むことができる。
いくつかの実施形態では、蓋110は、熱絶縁断絶要素によってチャンバの残りの部分から分離することができる。例えば、図2は、本発明のいくつかの実施形態による、本明細書で開示された諸実施形態のいずれかで説明されるCVDチャンバ内で使用するのに適した蓋アセンブリの拡大図を示す。蓋209は、熱絶縁断絶要素212によってチャンバの残りの部分から分離することができる。遮断要素212は、ヒータジャケット203の上面および下面に配置することができる。ヒータジャケット203はまた、遮断板205および面板208と接続することもできる。任意選択で、蓋の各部分または蓋の構成要素を加熱することができる。
蓋アセンブリは、蓋209、熱断絶要素212、ヒータジャケット203、ならびに遮断板204および205によって画定された空間202に供給ガスが入る前に、それを予混合するための最初のガス入口213を含む。空間202により、各反応ガスがチャンバの基板処理部分に入る前に混ざり合うための滞留時間が増大する。ヒータ210によって熱を、空間202を画定する各面に加えて、この空間の各面に沿って原材料が蓄積することを防ぐ助けにすることができる。加熱された面ではまた、ガスが面板208を出てチャンバの基板処理部分に入った後で加熱および物質移動を容易にするために、反応ガスを予加熱する。
図3は、本発明のいくつかの実施形態による、図1に示されたガス供給システムの部分の分解組立図である。図3は、蓋110、1つまたは複数の遮断板104、105、面板延長部103、および面板108をどのように構成すれば、ガスがチャンバの処理領域に入る前にガスを加熱し混合するための加熱面を備えた空間を形成することができるかを例示する。
いくつかの実施形態では、面板延長部103は、取付けが容易になるように構成することができる。図9は、本発明のいくつかの実施形態による面板延長部分103の1つの例示的な構成を表す図である。図9に示されるように、面板延長部103は、第1のフランジ902および第2のフランジ904を本体910の両側に含むことができる。第1のフランジ902と第2のフランジは、本体910から両方向に延びることができる。例えば、図9に表された実施形態では、第1のフランジ902は半径方向で内向きに、第2のフランジは半径方向で外向きに延びる。面板延長部103を蓋110(または、蓋に結合された他の構成要素)にボルト留めするために、孔906を第1のフランジ902に設けることができる。面板延長部103を面板108にボルト留めするために、孔908を面板108および第2のフランジ904を貫通して設けることができる。フランジ902と904が両方向に延びるので、面板延長部103を蓋110に結合するボルトに容易に直接アクセスでき、それによって、延長部の取付けおよび取外しが容易になる。
図4は、本発明のいくつかの実施形態による図1の面板108を例示するものである。面板108は、面板延長部103によって支持される。面板108は、ねじで面板延長部103に接続され、チャンバの処理領域内に望ましいガス入口分布を作り出すように孔402が配列された構成になっている。
いくつかの実施形態では、図9に表されているように、面板108は陽極酸化面912を含むことができる。この陽極酸化面により、処理中に面板108の温度が上昇しやすくなる。いくつかの実施形態では、陽極酸化面板108の温度上昇により、面板108が面板延長部103に付着または融合することになる可能性がある。それに応じて、いくつかの実施形態では、面板108の表面912を選択的に陽極酸化させることがある。具体的には、面板108の面912は、陽極酸化された内側部分914と、陽極酸化されていない外側部分916とを有することができる。外側部分916は、面板延長部103が面板108に結合される領域と合致し、それによって、融合の問題を低減または除去することができる。
再び図1を参照すると、ペデスタルリフトアセンブリ131は、処理チャンバ150の基部160に結合され、さらに基板支持アセンブリ111に結合されている。ペデスタルリフトアセンブリ131は、リフト機構130、リフト板118、およびリフトピンの組122を含む。動作時、ペデスタルリフトアセンブリ131は、処理位置(図1に示す)と降下した位置の間でペデスタル124の上昇を制御し、この降下した位置から基板を処理チャンバ150に、スリットバルブ開口114を通して搬入、搬出することができる。基板支持アセンブリ111は、処理チャンバ150の内側と外側の間の気密封止を維持するために、可撓性蛇腹132を用いてチャンバ本体156に結合される。
いくつかの実施形態では、ペデスタルリフトアセンブリ131は、基板支持アセンブリ111を回転させるように構成することができる。ペデスタルリフトアセンブリ131の回転する動きにより、処理中の基板上の不均一な温度分布があれば均等化、すなわちより均一にすることができ、また非常に多くの他の処理上の利点が得られる。このような他の処理上の利点の詳細は、Jacob Smithらの「Rotating Substrate Support and Methods of Use」という名称の、2005年6月8日出願の米国特許出願第11/147,938号に見出すことができる。同出願の全体を参照により本明細書に組み込む。
例えば、図5は、回転リフトアセンブリ500(以下で別に開示されない範囲で、図1のペデスタルリフトアセンブリ131と類似)のいくつかの実施形態の簡略断面図を表す。いくつかの実施形態では、回転リフトアセンブリ500は、処理チャンバ150の基部160の下に配置されたx−y調整機構504と結合されているフレーム502を含む。フレーム502は、処理チャンバ150の基部160の開口を通り抜けて延びるシャフト506を介して、基板支持アセンブリ111を支持する。
リフト機構508は、フレーム502に結合され、シャフト506の軸外にある。リフト機構508は、フレーム502を中心軸に沿ってプロセスチャンバ150の基部160に対しておおむね垂直に移動させ、それによって、基板支持アセンブリ111を処理チャンバ150内で昇降させるための移動範囲が得られる。リフト機構508は、フレームに直接結合された(図示せず)、または図示のように底板512を介してx−y機構504に結合されたステッピングモータ510を含むことができる。ステッピングモータ510または他の適切な機構により、基板支持アセンブリ111に所望の移動範囲を与えることができる。リフト機構508はさらに、シャフト516を介してステッピングモータ510に結合された水平板514を含むことができる。水平板514は、1つまたは複数の水平調整植込みボルト517、玉継ぎ手519、および止めねじ521を介して基部160に結合させ、基部に対して水平にすることができる。例えば、回転リフトアセンブリ550を基部160に取り付ける場合、水平板は、最初に一方の端部で玉継ぎ手519に結合させることができる。通常、図示のように、玉継ぎ手519のボール部は基部160に結合され、ソケット部は水平板514の中に配置される。次に、水平調整植込みボルト517は、水平板514の対向端部で基部160に結合させることができる。水平調整植込みボルト517は、2つのボルトを有するねじ付きロッドを含むことができ、各ボルトは、図5に示されるように水平板514の対向面に配置される。水平板514の水平は、水平調整植込みボルト517のねじ付きロッドに沿ってボルト位置を変えることによって、玉継ぎ手519に対して調整することができる。ボルトを調整することによって水平板514を水平にした後、水平板514の対向端部を止めビス521を使用して固定することができる。
シャフト516は、ボールねじまたは他の類似のデバイスでよく、また底板512とかみ合うねじ付き部を有することができる。動作時、ステッピングモータ510は、シャフト516を中心軸の周りに時計回り、または反時計回りの方向に回転させる。シャフト516のねじ付き部でフレーム502に対抗する力を底板512に生じさせ、その結果、基板支持アセンブリ111が上下移動することになる。
シャフト506は、上部シャフト518、下部シャフト520、および導管522を含むことができる。上部シャフト518は、図5に示されるように、基板支持アセンブリ111に直接結合させることができる。上部シャフト518は空洞とし、それによって、その中を通って支持アセンブリに至る電気、水、および/またはガスのラインなどのサービスラインを設ける手段を有することができる。例えば、電気ラインは、ヒータ120および熱電対(図示せず)のいずれか、または両方に電力を供給するラインを含むことができる。下部シャフト520は、上部シャフト518と類似の空洞として、サービスラインを中に通すことができる。下部シャフト520は大まかに、x−y機構504で取り囲むことができ、x−y機構は、下部シャフト520に対抗する力を作用させて、基板が配置された面に平行な平面内で支持アセンブリ111を移動させる。導管522は、下部シャフト520の空洞部の中に一部分だけ配置して空洞部に結合させることができ、また下部シャフト520に沿って延びることができる。導管522は、中空金属管または類似の構造として、上部シャフトおよび下部シャフトに電気ラインを設けることができる。
図5に表されているように、導管522は、概して、シャフト506の中心軸に沿ってフレーム502内に配置される。導管522は、回転機構524に結合させることができ、回転機構524を利用して、基板支持アセンブリ111を中心軸の周りに回転させることができる。回転機構524は、フレーム502に結合されシャフト506の中心軸を外れて配置された回転モータ526を含む。回転機構524はさらに、ベルト532を介して結合された第1のプーリ528と第2のプーリ530を有するプーリシステムを含む。第1のプーリ528は回転モータ526に結合され、第2のプーリは導管522に結合される。回転モータ526が係合されると、第1のプーリ528がシャフト506の中心軸に平行な軸の周りを回転する。第1のプーリ528によってもたらされる回転運動が、ベルト532を介して第2のプーリ530まで平行移動され、その結果、回転運動が導管522まで、したがって支持アセンブリ111まで平行移動されることになる。回転機構524は、フレーム502に結合された安全遮蔽536によって覆うことができる。
回転モータ526は通常、約0から約60毎分回転数(rpm)の間の範囲で動作し、約1パーセントの定常状態回転速度の変動幅を有する。いくつかの実施形態では、モータ526は、約1rpmと約15rpmの間の範囲で回転することができる。いくつかの実施形態では、モータ526は、約2.5rpmと約7.5rpmの間の範囲で回転することができる。モータ526は、正確な回転制御を行うことができ、約1度まで可能な割り出しにすることができる。このような回転制御により、例えば、基板の平坦な部分、または基板上に形成された、処理中に基板の方向を合わせるために使用される切り込みであるフィーチャの位置合わせが可能になる。加えて、このような回転制御では、処理チャンバ150の内側の固定座標に対する基板上のあらゆる点の位置について分かっていることを考慮に入れる。
任意選択で、光センサなどのセンサ(図示せず)を設けて、リフトピン122がリフト板118によって係合される場合に(図1に関して論じたように)基板支持アセンブリ111が回転することを防止することができる。例えば、光センサは、回転リフトアセンブリ550の外部に配置し、アセンブリが既定の高さ(例えば、上昇した処理位置または下降した処理位置)になったときに検出するように構成することができる。
導管522はさらに、導管522の基部に配置された電気継ぎ手538を含むことができる。電気継ぎ手538は、支持アセンブリ111に電力を供給するために、導管540に入る電気ライン540を導管522の中に配置された電気ライン(図示せず)に結合することができる。電気ラインは、その一部分の付近、例えば、図5に示されるフレーム502の基部に配置された水継ぎ手542を有することができる。水継ぎ手542は、例えば、電気ライン540の付近に配置して、回転リフトアセンブリ500のコンパクトな占有面積を維持することができる。しかし、水継ぎ手542は、電気ライン540の付近に配置する必要はなく、それから離して配置することもできる。水継ぎ手542はさらに、シャフト506を介して支持アセンブリ111に水を供給するために、水継ぎ手に結合された1つまたは複数の水ライン(図示せず)を含むこともできる。例えば、水ラインは、支持アセンブリ111上に配置された基板の温度を制御する手段として設けることができる。例えば、この水ラインは、熱交換器または他の類似の装置の一部とすることができる。
処理チャンバ150内部の処理容積と処理チャンバ150外部の大気との間の圧力差を維持するために、x−y機構504は、下部シャフト520を取り囲みシールを形成する。加えて、蛇腹544が基部160とx−y機構の間に結合される。蛇腹544は、大まかにシャフト506を取り囲み、より具体的には、大まかに上部シャフト518、および下部シャフト520の一部分を取り囲むことができる。
x−y機構504は、大まかに下部シャフト520を取り囲む。x−y機構504はさらに、その中に配置されて下部シャフト520を取り囲む第2の蛇腹546も含む。第2の蛇腹546は、x−y平面(すなわち基板の表面に平行な平面)内で、1つまたは複数の移動ゲージ548に可動結合させることができる。図5の断面図で表されたように、移動ゲージ548が1つだけ示されている。移動ゲージは、例えば、ねじゲージ、マイクロメータ、カリパスなどの計量デバイスでよい。移動ゲージは調整ねじ(図示せず)に結合させることができ、調整ねじは、第2の蛇腹546に結合されたベース板545の外側に対抗する力を作用させ、それによってx−y平面内で第2の蛇腹546を平行移動させる。したがって、第2の蛇腹546の平行移動により、支持アセンブリ111が所望のx−y位置に位置決めされる。所望のx−y位置に達した後に、調整ねじは、留め板または当業者に知られている他の類似の機構によって適切な位置に留めることができる。
x−y機構504は、x−y機構504と下部シャフト520の外面との間の境界面に設けられた、例えばリップシールである少なくとも1つのシール550を含むことができる。シール550は、図示のように第2の蛇腹546の基部の下に形成することができる。シール550は、通常は耐摩耗性であり、ポリエチレンまたは加工互換性がある他の材料から形成することができる。いくつかの実施形態では、シールはポリテトラフルオロエチレン(PTFE)から形成される。いくつかの実施形態では(図示せず)、多数のシール528がx−y機構504と下部シャフト520の外面との間に配置される。
x−y機構504はさらに、x−y機構504と下部シャフト520の外面との間に、摩擦、摩耗などを低減するための1つまたは複数のベアリング552を含むことができる。図5に表されているように、ベアリング552は、x−y機構504の基部で、シール550の下に配置される。しかし、ベアリング552は、x−y機構504に沿った、下部シャフト520の外面と接触している他の部分に配置することもできる。ベアリング552は、ステンレス鋼またはセラミックのボールベアリングなどを含むことができる。
回転リフト機構500はさらに、チャンバ150の基部160に結合され蛇腹544に隣接して配置された、冷却ファン554を含むことができる。
再び図1を参照すると、ポンピングシステム138は一般に、処理チャンバ150の内側容積中の圧力を制御するように構成されたスロットルバルブ、および1つまたは複数のポンプを含む。処理チャンバ150から外に流れるガスは、基板の表面全体にわたってガスの流れの均一性を向上させるために、ポンピングリング経由で送られる。例えば、排出ガスは、排出ポンピング板109と、壁106の中に形成され排出ポンピング板に結合されたポンピングポート126とを通り、最後にポンピングシステム138を通ってチャンバを出ることができる。排出ポンピング板109は、チャンバの処理領域からの排出流を制御するように構成される。排出ポンピング板109はスカートを含むことができ、このスカートは下方に広がり、排出ポンピング板の一部分に貫通して形成された複数の孔107を有する。一連のスリット状の孔として示された孔107を有する排出ポンピング板109のスカート部分により、スリットバルブ開口114に近接する熱損失を補償しやすくなる。いくつかの実施形態では、排出ポンピング板109は、排出ポンピング板109の上部に載っている排出板カバー112を有することがある。図10は、本発明のいくつかの実施形態による排出ポンピング板109の三次元概略図を表す。図11は、本発明のいくつかの実施形態による排出ポンピング板109用の排出板カバー112の三次元概略図を表す。カバー112は、熱損失不均衡を補償するための所望のガス分布(例えば、要望通りの均一な、または意図的に不均一なガス分布)が得られるように最適化され、一様でない孔を用いて設計することができる。
図1に示すように、システムコントローラ146は、一般に、中央処理ユニット(CPU)150、メモリ143、およびサポート回路152を含み、反応器100のモジュールおよび装置に結合されてこれらを制御する。動作時、コントローラ146は、システム100のモジュールおよび装置を直接制御し、あるいは、これらのモジュールおよび装置と結びついたコンピュータ(および/またはコントローラ)を管理する。
いくつかの実施形態では(図示せず)、反応器100は、基板支持アセンブリ111上に配置された基板に対し、蓋110の中の窓(図示せず)を通して輻射エネルギーを送出する光励起システムを含むことがある。
以上、本明細書では、基板上に膜を堆積するための改善された装置を開示した。本発明の装置では有利には、膜厚の不均一性が低減された1つまたは複数の膜を所与のプロセスチャンバ内部で堆積すること、粒子性能の改善(例えば、プロセスチャンバ内で形成される膜上の粒子の縮小)、複数のプロセスチャンバ間でのチャンバとチャンバの動作整合、およびプロセスチャンバ保守性の改善をしやすくすることができる。
上記は、本発明の諸実施形態を対象としているが、本発明の基本的な範囲から逸脱することなく、本発明の他のさらなる実施形態を考案することもできる。

Claims (14)

  1. 下部アセンブリおよび前記下部アセンブリにヒンジを介して可動結合された上部アセンブリを有するプロセスチャンバであって、前記下部アセンブリが、基板支持アセンブリが中に配置されたチャンバ本体を含み、前記上部アセンブリが蓋を含む、プロセスチャンバと、
    ガスパネルから前記プロセスチャンバの内側までのガスの流れを助長するための、前記チャンバ本体および前記蓋に結合されたガスフィードスルーであって、前記蓋に結合された上部本体、および前記チャンバ本体に結合された下部本体を備えており、前記ガスフィードスルーは前記チャンバ本体及び前記蓋を通り抜けないように、前記チャンバ本体及び前記蓋の外部に設けられ、前記上部本体が1つまたは複数の上部ポートを含み、前記下部本体が、対応する1つまたは複数の下部ポートを含み、前記蓋が閉じた位置にあるとき、前記1つまたは複数の上部ポートが、対応する1つまたは複数の下部ポートと結合するガスフィードスルーと
    を備え
    対応する各対の前記上部本体および下部本体の少なくとも一方が、前記蓋が閉じた位置にあるとき、前記上部本体と下部本体との結合を微調整しやすいように調整可能である、基板処理装置。
  2. 前記蓋の上面に沿って前記ガスフィードスルーから、前記蓋の入口ポート上方に配置された蓋マニホルドまで延びるアームと、
    前記ガスフィードスルーの前記1つまたは複数の上部ポートから、前記蓋マニホルドの中央かつ前記蓋の入口ポート上方に配置された前記蓋マニホルドの開口まで、ガスの流れを助長する前記アームの中に配置された単一の導管と
    をさらに備える、請求項に記載の装置。
  3. 前記単一の導管が、前記蓋マニホルドに入るガスが渦を生じやすいように前記蓋マニホルドにおいて非垂直に終端している、請求項に記載の装置。
  4. 前記ガスフィードスルーの前記上部本体の前記1つまたは複数の上部ポートと前記単一の導管との間に配置されて、前記単一の導管に入る前のガスを混合するための混合器
    をさらに含む、請求項またはに記載の装置。
  5. 前記蓋マニホルドの前記開口の上方に配置された出口を有し、前記蓋マニホルドの上面に接触する遠隔プラズマ源と、
    前記遠隔プラズマ源の出口と前記蓋マニホルドの前記上面との間に配置されたガスケットにクランプ力を作用させるために、前記遠隔プラズマ源の前記出口および前記蓋マニホルドの付近に配置されたクランプと
    をさらに備える、請求項からのいずれか一項に記載の装置。
  6. 前記遠隔プラズマ源を前記蓋に固定するために、前記遠隔プラズマ源の前記出口付近に配置された1つまたは複数の支持ブラケット
    をさらに備える、請求項に記載の装置。
  7. 前記単一の導管を通って流れるガスに熱を供給するために前記アームの中または上に配置されたヒータと、
    前記導管を通って流れるガスの温度に対応するデータを供給するためのセンサと
    をさらに備える、請求項からのいずれか一項に記載の装置。
  8. 前記アームが前記蓋の前記上面から間隔をおいて配置されている、請求項に記載の装置。
  9. 前記蓋が、
    前記蓋の入口ポートの周囲を囲み、前記蓋の下面から前記基板支持アセンブリに向かって延びる面板延長部と、
    前記蓋の前記下面と反対側の前記面板延長部に結合された面板であって、前記蓋の前記下面、前記面板延長部、および当該面板の間に混合領域を画定する面板と
    をさらに備えており、
    前記蓋の前記下面に対向する前記面板の表面が、前記面板と前記面板延長部の間の境界面の陽極酸化されていない外側部分と、前記面板の陽極酸化された内側部分とを含んでいる、請求項1からのいずれか一項に記載の装置。
  10. 前記上部アセンブリが、
    前記蓋を前記チャンバ本体に結合する蓋支持アセンブリであって、前記チャンバ本体に結合された1対の下部アーム、および前記蓋に結合された1対の上部アームを備え、前記1対の下部アームと前記1対の上部アームが互いに結合されてヒンジを形成しており、かつ前記蓋が前記ヒンジに対して浮動して前記蓋と前記チャンバ本体との位置合わせを改善しやすくするように前記蓋を支持する前記蓋支持アセンブリを更に備えている、請求項1からのいずれか一項に記載の装置。
  11. 前記蓋支持アセンブリが、
    前記蓋を前記蓋支持アセンブリに固定するために、前記蓋支持アセンブリの前記上部アームの各々に1つの支持板がそれぞれ配置されている1対の蓋支持板と、
    前記蓋支持板の各々に結合されて、前記蓋支持板の各々から垂直に延びる複数の蓋支持ピンであって、前記蓋を貫通して延び、前記複数の蓋支持ピンに沿った前記蓋の直線移動を助長する前記複数の蓋支持ピンと
    をさらに備えている、請求項10に記載の装置。
  12. 前記基板支持アセンブリが、
    基板支持部と、
    前記基板支持部を昇降および回転させるように前記チャンバ本体の基部の下に懸架され、かつ前記基板支持部に結合された回転リフトアセンブリであって、
    前記チャンバ本体の前記基部を貫通する孔を通って延びるシャフトを介して、前記チャンバ本体内の前記基板支持部に結合されたシャフトにより、前記基板支持部を支持するフレームであって、前記シャフトの回転により前記基板支持部が回転するフレームと、
    前記シャフトの軸から外れて前記フレームに結合されて、前記基板支持アセンブリを昇降させるように前記フレームおよび前記基板支持アセンブリを移動させるリフト機構と
    を備えた回転リフトアセンブリと
    を更に備えている、請求項1から11のいずれか一項に記載の装置。
  13. 前記回転リフトアセンブリが、
    前記フレームを前記チャンバ本体の前記基部に結合する水平板と、
    前記水平板を前記チャンバ本体の前記基部から懸架するために、前記水平板の一方の端部に近接して配置された玉継ぎ手と、
    前記水平板および回転リフトアセンブリを前記チャンバ本体の前記基部に対して水平にするために前記水平板の対向面に配置された水平調整植込みボルトと
    をさらに備えている、請求項12に記載の装置。
  14. 前記基板支持アセンブリが、
    基板支持部と、
    前記基板支持部を昇降および回転させるように前記チャンバ本体の基部の下に配置され、かつ前記基板支持部に結合された回転リフトアセンブリと、
    前記基板支持アセンブリを、上に配置される基板の表面に平行な平面内で移動させるために、前記プロセスチャンバの基部の下に配置されたx−y調整機構と
    をさらに備えている、請求項1から13のいずれか一項に記載の装置。
JP2012507307A 2009-04-21 2010-04-20 膜厚不均一性および粒子性能を改善するcvd装置 Expired - Fee Related JP5822823B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US17113209P 2009-04-21 2009-04-21
US61/171,132 2009-04-21
US12/763,522 US9312154B2 (en) 2009-04-21 2010-04-20 CVD apparatus for improved film thickness non-uniformity and particle performance
US12/763,522 2010-04-20
PCT/US2010/031723 WO2010123877A2 (en) 2009-04-21 2010-04-20 Cvd apparatus for improved film thickness non-uniformity and particle performance

Publications (2)

Publication Number Publication Date
JP2012525005A JP2012525005A (ja) 2012-10-18
JP5822823B2 true JP5822823B2 (ja) 2015-11-24

Family

ID=43011715

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012507307A Expired - Fee Related JP5822823B2 (ja) 2009-04-21 2010-04-20 膜厚不均一性および粒子性能を改善するcvd装置

Country Status (7)

Country Link
US (1) US9312154B2 (ja)
JP (1) JP5822823B2 (ja)
KR (1) KR101671158B1 (ja)
CN (1) CN102414794B (ja)
SG (2) SG10201401671SA (ja)
TW (1) TWI499688B (ja)
WO (1) WO2010123877A2 (ja)

Families Citing this family (513)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5822823B2 (ja) * 2009-04-21 2015-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 膜厚不均一性および粒子性能を改善するcvd装置
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8889435B2 (en) * 2011-09-29 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma density control
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9388492B2 (en) * 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US9315900B2 (en) * 2012-01-27 2016-04-19 Applied Materials, Inc. Isolation of microwave sources through bellows
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101312592B1 (ko) * 2012-04-10 2013-09-30 주식회사 유진테크 히터 승강형 기판 처리 장치
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10232324B2 (en) 2012-07-12 2019-03-19 Applied Materials, Inc. Gas mixing apparatus
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102261013B1 (ko) * 2013-03-14 2021-06-03 어플라이드 머티어리얼스, 인코포레이티드 다중-구역 히터에서의 온도 측정
TWI624903B (zh) * 2013-03-15 2018-05-21 應用材料股份有限公司 在雜訊環境中之現場溫度測量
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014179014A1 (en) * 2013-05-01 2014-11-06 Applied Materials, Inc. Inject and exhaust design for epi chamber flow manipulation
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
KR101635759B1 (ko) * 2013-11-25 2016-07-04 주식회사 선익시스템 물질이동 가스의 분리장치 및 이를 포함하는 화학기상 증착장치
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) * 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
KR102449103B1 (ko) * 2014-03-12 2022-09-28 어플라이드 머티어리얼스, 인코포레이티드 반도체 챔버에서의 웨이퍼 회전
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
SG11201610311SA (en) * 2014-07-11 2017-01-27 Applied Materials Inc Apparatus and methods for alignment of a susceptor
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10395918B2 (en) * 2015-05-22 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for controlling plasma in semiconductor fabrication
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR101725894B1 (ko) * 2016-01-26 2017-04-11 주식회사 더셀머트리얼즈 로드락 챔버
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN105803423A (zh) * 2016-04-21 2016-07-27 上海华力微电子有限公司 氮化钛沉积系统
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10438860B2 (en) 2016-04-22 2019-10-08 Applied Materials, Inc. Dynamic wafer leveling/tilting/swiveling steps for use during a chemical vapor deposition process
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
TWI610080B (zh) * 2016-05-12 2018-01-01 中華精測科技股份有限公司 探針卡總成
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10190216B1 (en) 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10710102B2 (en) 2017-09-08 2020-07-14 Point Engineering Co., Ltd. Fluid permeable member
US10571069B2 (en) * 2017-09-14 2020-02-25 Applied Materials, Inc. Gimbal assembly for heater pedestal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102519544B1 (ko) 2017-12-07 2023-04-07 삼성전자주식회사 웨이퍼 로딩 장치 및 막 형성 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11270898B2 (en) * 2018-09-16 2022-03-08 Applied Materials, Inc. Apparatus for enhancing flow uniformity in a process chamber
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020131214A1 (en) * 2018-12-20 2020-06-25 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11145504B2 (en) * 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP2020167288A (ja) * 2019-03-29 2020-10-08 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置のメンテナンス方法
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20220025899A (ko) 2019-07-12 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 동시 기판 이송을 위한 로봇
US11574826B2 (en) * 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
US11117265B2 (en) 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11443973B2 (en) 2019-07-12 2022-09-13 Applied Materials, Inc. Robot for simultaneous substrate transfer
US20210013069A1 (en) * 2019-07-12 2021-01-14 Applied Materials, Inc. Multi-lid structure for semiconductor processing system
KR20220031700A (ko) 2019-07-12 2022-03-11 어플라이드 머티어리얼스, 인코포레이티드 동시 기판 이송을 위한 로봇
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11515176B2 (en) * 2020-04-14 2022-11-29 Applied Materials, Inc. Thermally controlled lid stack components
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11626303B2 (en) * 2020-04-23 2023-04-11 Applied Materials, Inc. Compliance components for semiconductor processing system
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113130354A (zh) * 2021-04-09 2021-07-16 长鑫存储技术有限公司 半导体生产装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20230069317A1 (en) * 2021-08-25 2023-03-02 Applied Materials, Inc. Thermal choke plate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230074149A1 (en) * 2021-09-09 2023-03-09 Applied Materials, Inc. Atomic layer deposition part coating chamber
US11823939B2 (en) 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment
US20240093367A1 (en) * 2022-09-16 2024-03-21 Applied Materials, Inc. Atomic layer deposition part coating chamber

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5525160A (en) 1993-05-10 1996-06-11 Tokyo Electron Kabushiki Kaisha Film deposition processing device having transparent support and transfer pins
JP3099212B2 (ja) * 1993-05-10 2000-10-16 東京エレクトロン株式会社 成膜処理装置
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH0930893A (ja) * 1995-05-16 1997-02-04 Hitachi Electron Eng Co Ltd 気相成長装置
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US5863397A (en) * 1997-07-11 1999-01-26 Taiwan Semiconductor Manufacturing Co Ltd. Target mounting apparatus for vapor deposition system
US6050446A (en) * 1997-07-11 2000-04-18 Applied Materials, Inc. Pivoting lid assembly for a chamber
US6527865B1 (en) * 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6176198B1 (en) * 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6716302B2 (en) * 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US7090727B2 (en) * 2001-08-17 2006-08-15 Micron Technology, Inc. Heated gas line body feedthrough for vapor and gas delivery systems and methods for employing same
US6776848B2 (en) * 2002-01-17 2004-08-17 Applied Materials, Inc. Motorized chamber lid
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7001447B1 (en) * 2003-04-22 2006-02-21 Electric Power Research Institute Polarity reversing circuit for electrostatic precipitator system
KR100512740B1 (ko) * 2003-06-19 2005-09-07 삼성전자주식회사 반응장치
US7413612B2 (en) * 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP2005277300A (ja) 2004-03-26 2005-10-06 Nissin Electric Co Ltd 真空処理装置
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
JP4841854B2 (ja) 2005-03-30 2011-12-21 大日本スクリーン製造株式会社 熱処理装置
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US20070082507A1 (en) 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
JP4889326B2 (ja) * 2006-03-13 2012-03-07 東京エレクトロン株式会社 処理装置および蓋体の開閉機構
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
JP5822823B2 (ja) * 2009-04-21 2015-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 膜厚不均一性および粒子性能を改善するcvd装置

Also Published As

Publication number Publication date
US9312154B2 (en) 2016-04-12
WO2010123877A3 (en) 2011-01-20
SG174993A1 (en) 2011-11-28
WO2010123877A2 (en) 2010-10-28
CN102414794B (zh) 2015-01-28
CN102414794A (zh) 2012-04-11
TWI499688B (zh) 2015-09-11
JP2012525005A (ja) 2012-10-18
KR20120027257A (ko) 2012-03-21
SG10201401671SA (en) 2014-07-30
KR101671158B1 (ko) 2016-11-01
TW201105815A (en) 2011-02-16
US20100294199A1 (en) 2010-11-25

Similar Documents

Publication Publication Date Title
JP5822823B2 (ja) 膜厚不均一性および粒子性能を改善するcvd装置
US8128751B2 (en) Film-forming apparatus
KR101343025B1 (ko) 회전 기판 지지부 이용 방법
KR100277807B1 (ko) 열흐름과 기체유동을 제어한 반도체웨이퍼처리방법 및 장치
US20030019428A1 (en) Chemical vapor deposition chamber
JP2001512789A (ja) ミニ・バッチ式プロセス・チャンバ
WO1997007259A1 (en) Method and apparatus for cold wall chemical vapor deposition
KR100423629B1 (ko) 저항 가열 단일 웨이퍼 노
JP6088659B2 (ja) 基板処理装置及びヒータの温度調節方法
KR20190123803A (ko) 개선된 열 균일성을 갖는 열 챔버
TWI568881B (zh) 具有相異發射率之區域的面板
KR101766778B1 (ko) 화학 기상 증착 장치
TW202212626A (zh) 化學氣相沉積裝置及基片溫度控制方法
US20220002866A1 (en) Pedestal including vapor chamber for substrate processing systems
CN114334730A (zh) 晶圆预热装载腔及其预热方法
JP2004186552A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130416

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140320

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140422

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140718

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150303

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150626

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150723

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150908

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151006

R150 Certificate of patent or registration of utility model

Ref document number: 5822823

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees