CN102414794B - 改良膜厚度不均匀性与粒子表现的cvd设备 - Google Patents

改良膜厚度不均匀性与粒子表现的cvd设备 Download PDF

Info

Publication number
CN102414794B
CN102414794B CN201080018346.4A CN201080018346A CN102414794B CN 102414794 B CN102414794 B CN 102414794B CN 201080018346 A CN201080018346 A CN 201080018346A CN 102414794 B CN102414794 B CN 102414794B
Authority
CN
China
Prior art keywords
lid
coupled
equipment
substrate support
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201080018346.4A
Other languages
English (en)
Other versions
CN102414794A (zh
Inventor
B·特兰
崔安青
B·L·黄
S·T·恩古耶
A·N·恩古耶
S·M·佐伊特
X·陶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102414794A publication Critical patent/CN102414794A/zh
Application granted granted Critical
Publication of CN102414794B publication Critical patent/CN102414794B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Abstract

本发明的实施例提供用于诸如藉由化学气相沉积(CVD)在基材上沉积层的改良的设备。在此所揭露的本发明的设备可有利地助于实现以下效果的一种或多种:在给定的处理腔室内沉积具有减少的膜厚度不均匀性的膜、改良的粒子表现(例如,在处理腔室中所形成的膜上的粒子减少)、在多个处理腔室中的腔室对腔室表现匹配以及改良的处理腔室耐用性。

Description

改良膜厚度不均匀性与粒子表现的CVD设备
技术领域
本发明的实施例大体上是关于半导体基材处理设备与技术。
背景技术
集成电路包含藉由各种技术所沉积的多层材料,所述各种技术包括化学气相沉积。如此,经由化学气相沉积(CVD)在半导体基材上沉积材料是制造集成电路的工艺中的关键步骤。典型的CVD腔室可具有用于在处理期间加热基材的经加热基材支撑件、用于将工艺气体导进腔室的气体通口、以及用于维持腔室内处理压力以及移除过剩气体或处理副产物的泵抽通口。发明人已观察到,由于导进处理腔室且朝向泵抽通口的气体流态的原因,难以在基材上维持均匀的沉积轮廓。此外,内部腔室部件发射率的差异导致腔室内的热分布轮廓不均匀,因此基材上的热分布轮廓亦不均匀。此外,热的不均匀性也会由腔室的一般非对称设计引发(例如,一侧包括用以引进及送出基材的狭缝阀,而所述泵抽通口一般配置在所述腔室的相对侧)。发明人进一步观察到,横跨基材表面的热分布轮廓中的此不均匀性会进一步导致基材上材料沉积的不均匀性。这进而导致在平整化或在进一步处理之前需以其他方式修复基材时中造成的进一步花费,或可能导致集成电路一起失效。
因此,发明人已提供一种改良的设备,以用于在CVD腔室中均匀地沉积材料于基材上。
发明内容
本发明的实施例提供用于诸如藉由化学气相沉积(CVD)在基材上沉积层的改良设备。在此所揭露的本发明的设备可有利地助于实现以下效果的一种或多种:在给定的处理腔室内能沉积具有减少的膜厚度不均匀性的膜、改良的粒子表现(例如,在处理腔室中所形成的膜上粒子减少)、在多个处理腔室中的腔室对腔室表现匹配以及改良的处理腔室耐用性。
在一些实施例中,一种用于处理基材的设备可包括:处理腔室,所述处理腔室具有下部组件以及上部组件,所述上部组件经由铰合件可动地耦接至所述下部组件,其中所述下部组件包括腔室主体,所述腔室主体具有配置在所述腔室主体中的基材支撑组件,且其中所述上部组件包括盖组件;以及气体馈入装置,所述气体馈入装置耦接至所述腔室主体以及所述盖,以助于气体从气体板流至所述处理腔室的内部,其中所述气体馈入装置包含耦接至所述盖组件的上部主体以及耦接至所述腔室主体的下部主体,其中所述上部主体包括一个或多个上部通口,而所述下部主体包括对应的一个或多个下部通口,且其中当所述盖在关闭位置时,所述一个或多个上部通口与所述对应的一个或多个下部通口配合。
这些及其他优点将于下文更详尽描述。
附图说明
参照绘示于附图中的实施例,可获得如上文所简短总结的本发明的更特定的描述,如此可得到详细了解本发明的前述特征的方法,其中一些实施例在附图中示出。然而,应注意,附图仅绘示本发明的典型实施例,且因此不欲视为对本发明范围的限制,因为本发明可允许其他等效的实施例。
图1描绘根据本发明的一些实施例的示范性化学气相沉积腔室的简化剖面视图。
图2描绘根据本发明一些实施例的用于单一晶圆热CVD处理腔室的工艺套件的立体示意图。
图3描绘根据本发明一些实施例的图1所示的气体馈送系统的部份的分解视图。
图4描绘根据本发明的一些实施例的面板的顶视图。
图5描绘图1中所绘的旋转基材支撑件的剖面示意图。
图6描绘根据本发明一些实施例的具有开启的盖的化学气相沉积腔室的立体示意图。
图6A描绘根据本发明一些实施例的盖支撑件及铰合件组件的立体示意图。
图7描绘根据本发明一些实施例的图6的化学气相沉积腔室的立体示意图,所述腔室具有关闭的盖。
图8描绘根据本发明一些实施例的气体传递系统的部份的顶剖面视图。
图8A描绘根据本发明一些实施例的气体传递系统的部份的剖面视图。
图9描绘根据本发明一些实施例的面板延伸部的一个组态。
图10描绘根据本发明一些实施例的排气泵抽板的立体图。
图11描绘根据本发明一些实施例的用于排气泵抽板的遮盖的立体图。
图12描绘根据本发明一些实施例的狭缝阀衬垫的立体图。
如可能,此处使用相同的元件符号表示各图中共有的元件。在附图中所使用的图像可为说明起见而简化且不需按比例尺绘制。
具体实施方式
本发明的实施例提供用于在基材上沉积层的改良的设备。化学气相沉积(CVD)、次大气压化学气相沉积(SACVD)、快速热化学气相沉积(RTCVD)以及低压化学气相沉积(LPCVD)都是可在本发明设备中有利地执行的沉积方法。可根据在此提供的教示而修改的CVD处理腔室的范例包括SiNgen、SiNgen-Plus、BTBAS、以及POLYGENTM腔室,这些CVD处理腔室全可购自美国加州Santa Clara的应用材料公司。
在此揭露的本发明设备可有利地助于实现以下效果的一种或多种:在给定的处理腔室内沉积具有减少的膜厚度不均匀性的膜、改良的粒子表现(例如,在处理腔室中所形成的膜上粒子减少)、在多个处理腔室中的腔室对腔室表现匹配以及改良的处理腔室耐用性。所述及其他优点将于下文更详尽描述。
图1为单一晶圆CVD反应器100的实施例的剖面视图。在一些实施例中,以及如图1所绘,反应器100可包括处理腔室150、功率源116、气体板136、泵抽系统138以及控制器146。
处理腔室150大体上包括底部组件154、上部组件152以及底座举升组件131。处理腔室150可含有如下文进一步描述的额外设备,诸如反射器板、或其他经定制以助于传热的机构、测量腔室状况的探针、排气组件以及其他支撑基材且控制腔室环境的设备。
底部组件154包含具有壁106的腔室主体156,所述壁部份界定处理腔室150的内部。壁106可实质上为圆柱状且在上端由盖110封闭。壁106的多个区段可为热调控式。举例而言,在一些实施例中,多个导管(图中未示)可配置在壁106中,且经配置为循环传热流体以调控壁的温度。
基材支撑组件111配置于底部组件154中,用于在处理期间支撑基材(图中未示)。基材支撑组件111可包括加热器120,所述加热器120经配置以调控基材温度及/或处理腔室150的内部空间中的温度。加热器120耦接至功率源116,且在一些实施例中,加热器120能够将基材122维持于高达约800℃的温度。
狭缝阀开口114可位于腔室主体156的壁106中,以助于将基材送进处理腔室150以及将基材从处理腔室150移出。在一些实施例中,狭缝阀衬垫115可用于减少通过狭缝阀开口114的热损失。举例而言,图12描绘根据本发明一些实施例的图1的狭缝阀衬垫115的三维视图。狭缝阀衬垫115可藉由引导工艺气流并且减少通狭缝阀的传热而减少通过狭缝阀开口114的热损失。
回到图1,上部组件152大体上包含盖110且可进一步包括气体馈送入口、气体混合器、远端气体源以及一个或多个气体分配板,如下文所述。盖110可藉由铰合件或其他适合的机构可动地耦接至下部组件154。
举例而言,图6描绘根据本发明一些实施例的处理腔室650的简化立体图。处理腔室650具有类似图1中所绘的处理腔室150的特征结构。在一些实施例中以及如图6所绘,盖110可藉由盖支撑件以及铰合组件611(亦显示于图6A)耦接至下部组件154的腔室主体156。所述组件611包括铰合件610,所述铰合件610包含一对下臂620,所述一对下臂620经由铰合杆621耦接至相对的一对上臂622。下臂620可耦接至腔室主体156的底部组件154。上臂622可耦接至腔室主体156的上部组件152,并且可包括一个或多个盖支撑板626以将盖与上臂622固定(例如使用螺栓)。
可提供柄614以助于开启及关闭盖110。为助于容易开启及关闭沉重的盖110,且为防止损害盖110、腔室主体156及/或操作者,诸如气体填充活塞612之类的机构可设在铰合件610的一侧或双侧上,且耦接至盖110与腔室主体156以支撑盖610的大部分重量,并且防止盖610关闭得太快。在一些实施例中,可设减震器624以在关闭盖110时提供进一步的缓冲。
在一些实施例中,盖支撑件及铰合组件611可经配置以容许盖110浮置,因而助于使盖110良好地对准腔室主体156,并且提供二者之间较佳的密封(例如,可藉由有利提供更平均的压力至配置于盖110与腔室主体156之间的密封件或垫片,诸如显示于图1及图6的O环153)。盖110在腔室主体156上的此般改良位置利于减少漏损的风险。在一些实施例中,多个盖支撑销628可从盖支撑板626垂直延伸以充当线性轴承,在所述线性轴承上,盖110可移动以助于容许盖110浮置。
在一些实施例中,铰合件610可配置在腔室主体156的侧面上,邻接例如群集工具的传送腔室(图中未示),CVD反应器100与所述传送腔室附接(例如,铰合件610可配置在含有狭缝阀开口114的腔室主体156这一侧)。此配置有利地改良对腔室的进出,例如为了维护腔室。举例而言,在此配置中,CVD反应器100的盖110可从相对于群集工具的一侧打开,在这一侧可获得更多操纵空间。
回至图1,盖110可进一步包括一个或多个沟槽或通道178,以使传热流体(诸如水)穿过所述沟槽或通道以帮助盖110维持于期望温度。在一些实施例中,可设歧管180以发送传热流体进出通道178。在一些实施例中,歧管180可为一体的且可焊接至盖110以减少任何传热流体的漏损。歧管180包括一个或多个通路184,所述通路184配置成穿过歧管180中,并且对准一个或多个对应的通路182,所述通路182形成于盖110中且与一个或多个通道178流体连通。
气体板136提供液体及/或气态形式的工艺化学物质至处理腔室150。气体板136使用多个气体线路耦接至盖110。每一气体线路可选择性地适于从气体板136传送特定化学物质至入口通口158,且所述气体线路为温度受控。在一些实施例中,一个或多个气体馈送入口162可设于盖歧管164中,所述盖歧管164耦接至盖110的上表面以助于传递工艺化学物质至处理腔室150。在一些实施例中,可设置多个气体进料入口162以传递多种馈送气体至处理腔室150。馈送气体可从相应的气体源(诸如气体板136)透过一个或多个耦接至气体馈送入口162的气体馈入装置(图1中未示)来提供。
在一些常规腔室中,气体馈入装置可部份设于主体156内且部份设于盖110内,因而需要准确的对准以避免漏损、污染、及/或不精确的气体流量。然而,在本发明的一些实施例中,一个或多个气体馈入装置可设于主体及盖外部。
举例而言,图6描绘根据本发明一些实施例的处理腔室650的简化立体图,所述腔室具有开启位置的盖(第7图描绘具有关闭位置的盖的处理腔室650)。处理腔室650具有类似图1所绘示的处理腔室150的特征结构。在一些实施例中,处理腔室650包括气体馈入装置602,所述气体馈入装置602位于主体156及盖110外部,或可相对主体156及盖110调整。每一气体馈入装置602包括上部主体604以及下部主体606,所述上部主体具有一个或多个通口608,而所述下部主体具有当盖110处于关闭位置时匹配的一个或多个相对应的通口608。可在上部主体604及下部主体606之间设置密封件(诸如垫片或O环(图中未示))以助于防止进料气体漏损。在一些实施例中,可调整每一对应的一对上部主体604及下部主体606中的至少一者以助于当盖110处于关闭位置时微调上部主体604与下部主体606之间的耦接,从而容许补偿O环或密封件尺寸的差异或多个循环之间的盖对准差异等。再者,与配置在腔室主体的更内部位置的气体馈入装置相比较,气体馈入装置602所处的周边位置助于易于检查漏损。
在一些常规设计中,从气体馈入装置耦接至盖歧管的工艺气体可透过独立通道发送,所述独立通道在每一端具有O环以防止透过相应通道漏损。然而,此类设计增加了由设置更多接点所造成的潜在故障点,以及使O环接近来自远端等离子体源(在操作时)的等离子体串流,所述等离子体串流会导致所述O环过早磨损和/或受损伤。
在本发明一些实施例中以及如图8所示,提供至气体馈入装置602的多种气体可透过单一导管802发送至盖歧管164(例如,多重气体入口810、812可耦接至导管802)。导管802可促进藉由扩散流经所述导管中的工艺气体的混合。在一些实施例中,可设置可移除的混合器814以促进混合工艺气体。在一些实施例中,导管802可以非垂直的方式终结于盖歧管164处,以使透过气体馈送入口162进入盖歧管的气体倾向形成涡流。在一些实施例中,导管802可形成于臂804中,从盖歧管164延伸并与盖歧管164一体成形(因而避免为了透过导管802发送工艺气体而需要O环接近盖歧管164)。
在一些实施例中,可设加热器818以加热流过导管802的气体。加热器可为任何适合的加热器(诸如电阻式加热器),且所述加热器可耦接至臂804的外表面、插进臂804中的开口(图中未示)或配置于臂804内。在一些实施例中,可设传感器816以提供对应流过导管802的气体温度的数据。在一些实施例中,传感器816可为热偶。在一些实施例中,臂804可与盖110隔开以使从臂804至盖110的传热最少。
气体馈入装置602的上部主体604可以任何适合的方式(诸如以螺栓等(图中未示))耦接臂804,且可包括配置于所述上部主体604与臂804之间的O环808以助于减少或消除穿过接点的气体漏损。藉由避免O环接近盖歧管164(以及接近来自远端等离子体源的等离子体串流)的需要,本发明的设计消除了损害此类O环的风险,O环损坏会另外导致粒子物进入气体串流及/或气体从腔室漏损。再者,藉由减少气体传递系统的O环数量,本发明进一步减少了由于O环失效所造成的漏损或粒子的风险。
参考图1及图8A,图8A更详细地描绘盖歧管以及远端等离子体源组件,所述盖歧管164可进一步包括开口166,所述开口166用于耦接至远端等离子体源(图中仅示远端等离子体源的出口168)。可设支撑托架170以将远端等离子体源固定至盖110。可设夹箝174将远端等离子体源固定至盖歧管164。在一些实施例中,夹箝174可为KF型夹箝。出口168可具有接触表面以压抵盖歧管164的上表面。诸如O环172之类的垫片可设于远端等离子体源的出口168与盖歧管164之间以防止远端等离子体源的出口168与盖歧管164之间的漏损。藉由夹箝174直接施加夹箝力至O环172助于生成与维持良好密封以减少漏损的可能性。
回到图1,盖歧管164大体上透过盖110提供工艺材料(例如,从气体入口162及/或远端等离子体源)至处理腔室。在一些实施例中,盖110可包括入口通口158以及混合器113。在一些实施例中,混合器113引导至喷淋头,所述喷淋头用以提供工艺材料至处理腔室150的内部。喷淋头透过多个开口分配从气体板136传递的气体或蒸气。开口的尺寸、几何形状、数量以及位置可经选择以助于预先限定的气体/蒸气流态流至配置于处理腔室150内的基材。
举例而言,在处理期间,馈送气体在通过入口通口158至盖110中的混合器113及第一挡板104中的孔洞(图中未示)之前,可透过气体传递系统(例如气体板136以及相关连的设备)进入处理腔室150。馈送气体随后行进穿过在第一挡板104及第二挡板105之间产生的混合区域102。第二挡板105在结构上由面板延伸部103支撑。在馈送气体穿过第二挡板105中的孔洞(图中未示)之后,馈送气体流过面板108中的孔洞(图中未示)并且进入由腔室壁106、面板108以及基材支撑组件111所界定的主要处理区域。处理腔室150可视情况任选地包括配置在腔室壁106的上表面与盖110之间的插件101,所述插件101被加热以提供热给面板延伸部103以加热混合区域102。
在一些实施例中,可藉由绝热断热元件从腔室的其余部份隔开盖110。举例而言,图2描绘根据本发明一些实施例的盖组件的扩大图,所述盖组件适合用于此揭露的任何实施例所述的CVD腔室。盖209可藉由绝热断热元件212从腔室的其余部份隔开。断热元件212可配置在加热器外套203的上表面及下表面上。加热器外套203亦可连接至挡板205以及面板208。盖的一些部份或盖部件可视情况任选地受热。
盖组件包括初始气体入口213,以在馈送气体进入由盖209、断热元件212、加热器外套203以及挡板204、205所界定的空间202之前预混合馈送气体。空间202提供反应物气体增加的滞留时间,以使反应物气体在进入腔室的基材处理部份之前混合。热可藉由加热器210施加至界定空间202的表面以帮助防止原材料沿空间的表面堆积。一旦气体离开面板208并且进入腔室的基材处理部份,加热的表面亦预热反应物气体以助更佳的传热与传质。
图3是根据本发明一些实施例的图1所示的气体馈送系统的部份的分解视图。图3绘示盖110、一个或多个挡板104、105、面板延伸部103以及面板108可如何经配置以提供一空间,所述空间具有加热的表面以用于在气体进入腔室的处理区域之前加热并且混合所述气体。
在一些实施例中,面板延伸部103可经配置以使安装容易。图9描绘根据本发明一些实施例的面板延伸部103的一个说明性配置。如图9所示,面板延伸部103可包括在主体910的相对侧面上的第一凸缘902及第二凸缘904。第一凸缘902以及第二凸缘可以相反的方向从主体910延伸。举例而言,在图9所绘的实施例中,第一凸缘902径向朝内延伸,而第二凸缘径向朝外延伸。孔洞906可设于第一凸缘902中,以用于将面板延伸部103通过螺栓固定至盖110(或其他耦接至盖的部件)。可将孔洞908设置成穿过面板108及第二凸缘904,以用于将面板延伸部103通过螺栓固定至面板108。由于凸缘902、904以相反方向延伸,所以易于直接取用将面板延伸部103耦接至盖110的螺栓,因而助于容易安装与移除延伸部。
图4是根据本发明的一些实施例的图1的面板108的说明图。面板108由面板延伸部103支撑。面板108藉由螺钉连接至面板延伸部103,且配置有孔洞402,所述孔洞经排列以在腔室处理区域内产生期望的气体入口分布。
在一些实施例中及如图9所描绘,面板108可包括阳极化处理表面912。阳极化处理表面助于在处理期间升高面板108的温度。在一些实施例中,升高的阳极化处理面板108的温度可引发面板108粘着或融合至面板延伸部103。据此,在一些实施例中,面板108的表面912可选择性地阳极化处理。详言之,面板108的表面912可具有经阳极化处理的内部部份914,以及无阳极化处理的外部部份916。外部部份916可对应至面板延伸部103耦接至面板108之处的区域,因而减少或消除融合的问题。
回到图1,底座举升组件131耦接至处理腔室150的基座160,并且进一步耦接至基材支撑组件111。底座举升组件131包含举升机构130、举升板118以及一组举升销122。在操作上,底座举升组件131将底座124的高度控制在处理位置(如图1所示)与下降位置之间,从所述下降位置,基材可被输送穿过狭缝阀开口114进入及离开处理腔室150。基材支撑组件111使用弹性波纹管132耦接至腔室主体156,以维持处理腔室150的内部及外部之间的气密式密封。
在一些实施例中,底座举升组件131可经配置以旋转基材支撑组件111。底座举升组件131的旋转移动可在处理期间使基材上不均匀的温度分布均滑或更均匀,且可提供数种其他处理的优点。此类其他处理优点可在美国专利申请案11/147,938号中找得,所述案在2005年6月8日由JacobSmith提出申请,标题为:“Rotating Substrate Support and Methods of Use(旋转基材支撑件及其使用方法)”,所述专利申请全文在此并入作为参考。
举例而言,图5描绘旋转举升组件500的一些实施例的概略剖面视图(在下文无揭露为反例的范围下,所述组件500类似图1中的底座举升组件131)。在一些实施例中,旋转举升组件500包括框架502,所述框架502耦接至x-y调整机构504,所述调整机构504配置于处理腔室150的基座160下方。框架502经由轴杆506支撑基材支撑组件111,所述轴杆延伸穿过处理腔室150的基座160中的开口。
举升机构508耦接至框架502并且与轴杆506离轴。举升机构508沿大体上垂直于处理腔室150的基座160的中心轴线移动框架502,因而提供运动范围以在处理腔室150内升高及降低基材支撑组件111。举升机构508可包括步进马达510,所述步进马达510直接耦接至框架(图中未示)或如图所示经由基座板512耦接至x-y机构504。步进马达510或其他适合的机构可提供期望的运动范围给基材支撑组件111。举升机构508可进一步包括位高调整板(leveling plate)514,所述位高调整板514经由轴杆516耦接至步进马达510。位高调整板514可经由一个或多个位高调整螺桩517、球窝关节519以及固定螺钉521耦接至基座160并且针对基座160校准位高。举例而言,当将旋转举升组件550架设至基座160时,位高调整板可最初于一端耦接至球窝关节519。一般而言,如图所示,球窝关节519的球部份耦接至基座160,而窝部份配置在位高调整板514中。位高调整螺桩517随后可将位高调整板514的相对端耦接至基座160。位高调整螺桩517可包括具有两个螺栓的螺纹杆,其中每一螺栓配置在位高调整板514的相对面上,如图5所示。可藉由沿位高调整螺桩517的螺纹杆改变螺栓位置而相对于球窝519调整位高调整板514的位高。一旦调整螺栓以调整位高调整板514的位高,所述位高调整板514的相对端可使用固定螺钉521固定。
轴杆516可为球螺钉或其他类似装置,且具有与基座板512接合的螺纹部份。在操作上,步进马达510使轴杆516以顺时钟或逆时钟方向绕中心轴线转动。轴杆516的螺纹部份使基座板512提供抵抗框架502的力,所述力会造成基材支撑组件111向上或向下移动。
轴杆506可包括上轴杆518、下轴杆520以及导管522。上轴杆518可直接耦接至基材支撑组件111,如图5所绘示。上轴杆518可为中空,因而有办法提供穿过上轴杆中且至支撑组件111的操作线路(诸如电力、水和/或气体线路)。举例而言,电力线路可包括供给功率至加热器120及/或热偶(图中未示)的线路。下轴杆520类似上轴杆518,可为中空以使操作线路贯穿下轴杆中。下轴杆518可大体上被x-y机构504环绕,其中x-y机构提供抵靠下轴杆518的力以使支撑组件111在平面上移动,所述平面平行于配置在支撑组件上的基材的表面。导管522可部份配置在下轴杆518的中空部份中并且与下轴杆518的中空部份耦接,并且沿下轴杆518的长度延伸。导管522可为中空金属管或类似结构,以提供电力线路至下轴杆与上轴杆。
如图5所描绘,导管522大体上在框架502内沿轴杆506的中心轴线配置。导管522可耦接至旋转机构524,其中旋转机构524可用于绕中心轴线旋转基材支撑组件111。旋转机构524包括旋转马达526,所述旋转马达耦接至框架502并且配置成离开轴杆506的中心轴线。旋转机构524进一步包括滑轮系统,所述滑轮系统具有第一滑轮528以及第二滑轮530,所述第一滑轮528和第二滑轮530藉由带532耦接。第一滑轮528耦接至旋转马达526,而第二滑轮530耦接至导管522。当旋转马达526接合时,第一滑轮528绕与于轴杆506的中心轴线平行的轴线旋转。由第一滑轮528提供的旋转运动透过带532转移至第二滑轮530,因而造成转动运动转移至导管522,因而转移至支撑组件111。转动机构524可由耦接至框架502的安全遮罩536所覆盖。
转动马达526一般在介于每分钟约0至约60转(rpm)的范围内操作,且具有约1%的稳态转动速度变化度。在一些实施例中,马达526可在介于约1至约15rpm的范围内旋转。在一些实施例中,马达526可在介于约2.5至约7.5rpm的范围内旋转。马达526可具有精确的旋转控制且马达526的量度可在1度以内。此类旋转控制容许校准用以在处理期间定向基材的特征结构(例如基材的平坦部份或者形成在基材上的缺口)。此外,此类旋转控制容许辨识基材上任何点相对于处理腔室150的内部的固定座标的位置。
可视情况任选地设置诸如光学传感器之类的传感器(图中未示),以防止当举升板118接合举升销122时基材支撑组件111旋转(如关于图1所讨论)。举例而言,光学传感器可配置在旋转举升组件550的外侧且经配置以侦测何时组件处于预定高度(例如,升高的处理位置或下降的基材传送位置)。
导管522可进一步包括电套接件538(electrical union),所述电套接件配置在导管522的基座处。电套接件538可将进入导管540的电力线路540耦接至配置在导管522中的电力线路(图中未示),以提供功率给支撑组件111。电力线路可具有水套接件542,所述水套接件配置于所述电力线路的一部份附近,例如如图5所示般配置于框架502的基座处。水套接件542可配置于电力线路540附近,以例如维持旋转举升组件500的紧密覆盖区。然而,水套接件502不需配置在电力线路540附近,且可与电力线路540隔离配置。水套接件542可进一步包括与水套接件542耦接的一个或多个水线路(图中未示),用于经由轴杆506提供水至支撑组件111。举例而言,水线路可设为控制配置在支撑组件111上的基材的温度的装置。举例而言,水线路可为热交换器或另一类似设备的一部份。
为了维持处理腔室150内的工艺空间与处理腔室150外的大气之间的压力差异,x-y机构504环绕下轴杆520并且与下轴杆520形成密封。此外,波纹管544耦接于基座160与x-y机构之间。波纹管544大体上环绕轴杆506,且更具体而言,波纹管544可大体上环绕上轴杆518以及下轴杆520的一部分。
x-y机构504大体上环绕下轴杆520。X-y机构504进一步包括配置于x-y机构中且环绕下轴杆520的第二波纹管546。第二波纹管546可以在x-y平面(即平行于基材表面的平面)中可动地耦接至一个或多个移动测规548。如第5图中剖面视图所描绘,仅显示一个移动测规548。所述移动测规可例如为计量装置,诸如螺钉测规、测微器或卡尺等。移动测规可耦接至调整螺钉(图中未示),所述螺钉提供抵靠基座板545外部的力,其中基座板545耦接至第二波纹管546的基座,因而使第二波纹管546的基座在x-y平面上平移。因此,第二波纹管546的转移将支撑组件111定位在期望的x-y位置。一旦到达期望的x-y位置,调整螺钉可藉由锁板或熟习此技艺者所知的另一类似的机构锁进位置中。
x-y机构504可包括至少一个密封件550(例如唇状密封件),所述密封件550设于x-y机构504以及下轴杆520的外表面之间的界面处。如图所示,密封件550可形成于第二波纹管546的基座下方。密封件550一般为抗磨损且可由聚乙烯或其他工艺相容材料形成。在一些实施例中,密封件是由聚四氟乙烯(PTFE)形成。在一些实施例(图中未示)中,多重密封件528配置于x-y机构504以及下轴杆520的外表面之间。
x-y机构504可进一步包括一个或多个轴承552以减少在x-y机构504及下轴杆520的外表面之间的摩擦或磨损等。如图5所描绘,轴承552配置于x-y机构504的基座处且位于密封件550下方。然而,轴承552可配置在沿x-y机构504的其他位置,所述位置与下轴杆520的外表面接触。轴承520可包括不锈钢或陶瓷球轴承等。
旋转举升机构500可进一步包括冷却风扇554,所述冷却风扇554耦接至腔室150的基座160,且配置于邻接波纹管544。
回到图1,泵抽系统138大体上包括节流阀以及一个或多个泵,所述泵经排列以控制处理腔室150的内部空间中的压力。流出处理腔室150的气体透过泵抽环发送,以促进横跨基材表面的气体流量均匀性。举例而言,废气可透过排气泵抽板109、泵抽通口126以及最终透过泵抽系统138离开腔室,所述泵抽通口126形成于壁106中且耦接至排气泵抽板109。排气泵抽板109经设置以控制来自腔室处理区域的排气流量。排气泵抽板109可包括裙部,所述裙部向下延伸并且具有在所述群部的区段中多个形成为贯穿所述群部的孔洞107。具有孔洞107的排气泵抽板109的裙部区段(显示为一系列狭缝状孔洞)助于补偿接近狭缝阀开口114处的热损失。在一些实施例中,排气泵抽板109可具有排气板遮盖112,所述排气板遮盖112安置于排气泵抽板109的顶部上。图10描绘根据本发明一些实施例的排气泵抽板109的三维示意图。图11描绘根据本发明一些实施例的用于排气板109的排气板遮盖112的三维示意图。遮盖112可被设计为具有最佳化、非一致的孔洞,以提供期望的气体分配(例如,如所期望的均匀或刻意非均匀的气体分配)以补偿热损失的不平衡。
回到图1,系统控制器146大体上包含中央处理单元(CPU)150、存储器143以及支持电路152,且耦接至反应器100的模块及设备并且控制所述模块及设备。在操作上,控制器146直接控制系统100的模块及设备,或者管理与所述模块及设备相关联的计算机(和/或控制器)。
在一些实施例中(图中未示),反应器100包含光激发系统,所述系统透过盖110中的窗(图中未示)传递辐射能至配置在基材支撑组件111上的基材。
因此,在此已揭露了用于在基材上沉积膜的改良设备。本发明的设备可有利地助于实现以下效果中的一种或多种:在给定的处理腔室内沉积具有减少的膜厚度不均匀性的膜、改良的粒子表现(例如,在处理腔室中所形成的膜上粒子减少)、在多个处理腔室中的腔室对腔室表现匹配以及改良的处理腔室耐用性。
虽然上述内容涉及本发明的多个实施例,但可设计出本发明的其他及进一步的实施例,而不背离本发明的基本范畴。

Claims (15)

1.一种用于处理基材的设备,包括:
处理腔室,所述处理腔室具有下部组件以及上部组件,所述上部组件经由铰合件可动地耦接至所述下部组件,其中所述下部组件包括腔室主体,所述腔室主体具有配置在所述腔室主体中的基材支撑组件,且其中所述上部组件包括盖;以及
气体馈入装置,所述气体馈入装置耦接至所述腔室主体以及所述盖,以助于气体从气体板流至所述处理腔室的内部,其中所述气体馈入装置包含耦接至所述盖的外部的上部主体以及耦接至所述腔室主体的外部的下部主体,其中所述上部主体包括一个或多个上部通口,而所述下部主体包括对应的一个或多个下部通口,且其中当所述盖在关闭位置时,所述一个或多个上部通口与所述对应的一个或多个下部通口配合。
2.如权利要求1所述的设备,其特征在于,每一对应的一对所述上部主体和所述下部主体中的至少一个是可调整的,以助于当所述盖在关闭位置时微调所述上部主体与所述下部主体之间的耦接。
3.如权利要求1所述的设备,进一步包括:
臂,所述臂沿所述盖的上表面从所述气体馈入装置延伸至配置在所述盖中的入口通口上方的盖歧管;以及
单个导管,所述单个导管配置在所述臂中,以助于气体从所述气体馈入装置的所述一个或多个上部通口流至所述盖歧管的开口,所述开口居中地配置成穿过所述盖歧管并且配置于所述盖的所述入口通口上方。
4.如权利要求3所述的设备,其特征在于,所述单个导管非垂直地终结于所述盖歧管处,以使进入所述盖歧管的气体倾向形成涡流。
5.如权利要求3所述的设备,进一步包括:
混合器,所述混合器配置在所述气体馈入装置的所述上部主体的所述一个或多个上部通口与所述单个导管之间,以在多种气体进入所述单个导管之前混合所述多种气体。
6.如权利要求3所述的设备,进一步包括:
远端等离子体源,所述远端等离子体源具有出口,所述出口配置于所述盖歧管中的所述开口上方并且接触所述盖歧管的上表面;以及
夹箝,所述夹箝配置于所述远端等离子体源的所述出口与所述盖歧管周围,以提供夹箝力给配置在所述远端等离子体源的所述出口与所述盖歧管的所述上表面之间的垫片。
7.如权利要求6所述的设备,进一步包括:
一个或多个支撑托架,所述一个或多个支撑托架配置于所述远端等离子体源的所述出口周围,以将所述远端等离子体源固定至所述盖。
8.如权利要求3所述的设备,进一步包括:
加热器,所述加热器配置于所述臂中或所述臂上,以提供热给流过所述单个导管的气体;以及
传感器,所述传感器用于提供与流过所述导管的气体的温度相对应的数据。
9.如权利要求8所述的设备,其特征在于,所述臂与所述盖的所述上表面隔开。
10.如权利要求1-9中的任一项所述的设备,其特征在于,所述盖进一步包括:
面板延伸部,所述面板延伸部围绕所述盖的入口通口,并且从所述盖的下表面朝所述基材支撑组件延伸;以及
面板,所述面板相对于所述盖的所述下表面耦接至所述面板延伸部,以界定介于所述盖的所述下表面、所述面板延伸部以及所述面板之间的混合区域;
其中所述面板相对于所述盖的所述下表面的表面包括所述面板的未经阳极化处理的外部部分和经阳极化处理的内部部分,所述未经阳极化处理的外部部分位于所述面板与所述面板延伸部之间的界面处。
11.如权利要求1-9中的任一项所述的设备,其特征在于,所述上部组件进一步包括:
盖支撑组件,所述盖支撑组件将所述盖耦接至所述腔室主体,所述盖支撑组件包括耦接至所述腔室主体的一对下臂以及耦接至所述盖的一对上臂,其中所述一对下臂及所述一对上臂耦接在一起以形成铰合件,其中所述盖支撑组件支撑所述盖,以使所述盖相对于所述铰合件浮置,以助于所述盖与所述腔室主体更好地对准。
12.如权利要求11所述的设备,其特征在于,所述盖支撑组件进一步包括:
一对盖支撑板,所述盖支撑组件的每个所述上臂各配置一个所述盖支撑板,以将所述盖固定至所述盖支撑组件;以及
多个盖支撑销,所述多个盖支撑销耦接至每个所述盖支撑板并且从每个所述盖支撑板垂直延伸,其中所述多个盖支撑销延伸穿过所述盖,并且助于所述盖沿所述多个盖支撑销的线性运动。
13.如权利要求1-9中的任一项所述的设备,其特征在于,所述基材支撑组件进一步包括:
基材支撑件;以及
旋转举升组件,所述旋转举升组件悬置在所述腔室主体的基座下方并且耦接至所述基材支撑件,以升高、降低及旋转所述基材支撑件,其中所述旋转举升组件包括:
框架,所述框架经由耦接至位于所述腔室主体内部的基材支撑件的轴杆来支撑所述基材支撑件,所述轴杆延伸穿过孔洞,所述孔洞配置成穿过所述腔室主体的所述基座,其中所述轴杆的旋转提供旋转给所述基材支撑件;以及
举升机构,所述举升机构耦接至所述框架并与所述轴杆离轴,以移动所述框架与所述基材支撑组件以升高及降低所述基材支撑组件。
14.如权利要求13所述的设备,其特征在于,所述旋转举升组件进一步包括:
位高调整板,所述位高调整板将所述框架耦接至所述腔室主体的所述基座;
球窝关节,所述球窝关节配置于接近所述位高调整板的一端处,以使所述位高调整板从所述腔室主体的所述基座悬置;以及
位高调整螺桩,所述位高调整螺桩配置于所述位高调整板的相对侧面上,以相对于所述腔室主体的所述基座调整所述位高调整板及所述旋转举升组件的位高。
15.如权利要求1-9中的任一项所述的设备,其特征在于,所述基材支撑组件进一步包括:
基材支撑件;
旋转举升组件,所述旋转举升组件配置在所述腔室主体的基座下方且耦接至所述基材支撑件,以升高、降低以及旋转所述基材支撑件;以及
x-y调整机构,所述x-y调整机构配置在所述处理腔室的所述基座下方,以在平面内移动所述基材支撑组件,所述平面平行于配置在所述基材支撑组件上的基材的表面。
CN201080018346.4A 2009-04-21 2010-04-20 改良膜厚度不均匀性与粒子表现的cvd设备 Expired - Fee Related CN102414794B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US17113209P 2009-04-21 2009-04-21
US61/171,132 2009-04-21
US12/763,522 2010-04-20
US12/763,522 US9312154B2 (en) 2009-04-21 2010-04-20 CVD apparatus for improved film thickness non-uniformity and particle performance
PCT/US2010/031723 WO2010123877A2 (en) 2009-04-21 2010-04-20 Cvd apparatus for improved film thickness non-uniformity and particle performance

Publications (2)

Publication Number Publication Date
CN102414794A CN102414794A (zh) 2012-04-11
CN102414794B true CN102414794B (zh) 2015-01-28

Family

ID=43011715

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080018346.4A Expired - Fee Related CN102414794B (zh) 2009-04-21 2010-04-20 改良膜厚度不均匀性与粒子表现的cvd设备

Country Status (7)

Country Link
US (1) US9312154B2 (zh)
JP (1) JP5822823B2 (zh)
KR (1) KR101671158B1 (zh)
CN (1) CN102414794B (zh)
SG (2) SG10201401671SA (zh)
TW (1) TWI499688B (zh)
WO (1) WO2010123877A2 (zh)

Families Citing this family (513)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102414794B (zh) * 2009-04-21 2015-01-28 应用材料公司 改良膜厚度不均匀性与粒子表现的cvd设备
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8889435B2 (en) * 2011-09-29 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma density control
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9388492B2 (en) * 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US9315900B2 (en) * 2012-01-27 2016-04-19 Applied Materials, Inc. Isolation of microwave sources through bellows
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101312592B1 (ko) * 2012-04-10 2013-09-30 주식회사 유진테크 히터 승강형 기판 처리 장치
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10232324B2 (en) * 2012-07-12 2019-03-19 Applied Materials, Inc. Gas mixing apparatus
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10153185B2 (en) 2013-03-14 2018-12-11 Applied Materials, Inc. Substrate temperature measurement in multi-zone heater
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI624903B (zh) * 2013-03-15 2018-05-21 應用材料股份有限公司 在雜訊環境中之現場溫度測量
WO2014179014A1 (en) * 2013-05-01 2014-11-06 Applied Materials, Inc. Inject and exhaust design for epi chamber flow manipulation
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
KR101635759B1 (ko) * 2013-11-25 2016-07-04 주식회사 선익시스템 물질이동 가스의 분리장치 및 이를 포함하는 화학기상 증착장치
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) * 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
KR102449103B1 (ko) * 2014-03-12 2022-09-28 어플라이드 머티어리얼스, 인코포레이티드 반도체 챔버에서의 웨이퍼 회전
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR102398918B1 (ko) * 2014-07-11 2022-05-17 어플라이드 머티어리얼스, 인코포레이티드 서셉터의 정렬을 위한 장치 및 방법
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10395918B2 (en) 2015-05-22 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for controlling plasma in semiconductor fabrication
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR101725894B1 (ko) * 2016-01-26 2017-04-11 주식회사 더셀머트리얼즈 로드락 챔버
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
CN105803423A (zh) * 2016-04-21 2016-07-27 上海华力微电子有限公司 氮化钛沉积系统
US10438860B2 (en) 2016-04-22 2019-10-08 Applied Materials, Inc. Dynamic wafer leveling/tilting/swiveling steps for use during a chemical vapor deposition process
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
TWI610080B (zh) * 2016-05-12 2018-01-01 中華精測科技股份有限公司 探針卡總成
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10190216B1 (en) 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10710102B2 (en) 2017-09-08 2020-07-14 Point Engineering Co., Ltd. Fluid permeable member
US10571069B2 (en) * 2017-09-14 2020-02-25 Applied Materials, Inc. Gimbal assembly for heater pedestal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102519544B1 (ko) 2017-12-07 2023-04-07 삼성전자주식회사 웨이퍼 로딩 장치 및 막 형성 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11270898B2 (en) * 2018-09-16 2022-03-08 Applied Materials, Inc. Apparatus for enhancing flow uniformity in a process chamber
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN113196444A (zh) * 2018-12-20 2021-07-30 应用材料公司 用于供应改良的气流至处理腔室的处理空间的方法和设备
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11145504B2 (en) * 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
JP2020167288A (ja) * 2019-03-29 2020-10-08 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置のメンテナンス方法
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11443973B2 (en) 2019-07-12 2022-09-13 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11117265B2 (en) 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
KR20220031700A (ko) 2019-07-12 2022-03-11 어플라이드 머티어리얼스, 인코포레이티드 동시 기판 이송을 위한 로봇
US20210013069A1 (en) * 2019-07-12 2021-01-14 Applied Materials, Inc. Multi-lid structure for semiconductor processing system
JP2022540608A (ja) 2019-07-12 2022-09-16 アプライド マテリアルズ インコーポレイテッド 同時基板移送用ロボット
US11574826B2 (en) * 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11515176B2 (en) * 2020-04-14 2022-11-29 Applied Materials, Inc. Thermally controlled lid stack components
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11626303B2 (en) * 2020-04-23 2023-04-11 Applied Materials, Inc. Compliance components for semiconductor processing system
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113130354A (zh) * 2021-04-09 2021-07-16 长鑫存储技术有限公司 半导体生产装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20230069317A1 (en) * 2021-08-25 2023-03-02 Applied Materials, Inc. Thermal choke plate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230074149A1 (en) * 2021-09-09 2023-03-09 Applied Materials, Inc. Atomic layer deposition part coating chamber
US11823939B2 (en) 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment
US20240093367A1 (en) * 2022-09-16 2024-03-21 Applied Materials, Inc. Atomic layer deposition part coating chamber

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3099212B2 (ja) * 1993-05-10 2000-10-16 東京エレクトロン株式会社 成膜処理装置
US5525160A (en) * 1993-05-10 1996-06-11 Tokyo Electron Kabushiki Kaisha Film deposition processing device having transparent support and transfer pins
JPH0930893A (ja) * 1995-05-16 1997-02-04 Hitachi Electron Eng Co Ltd 気相成長装置
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US5863397A (en) * 1997-07-11 1999-01-26 Taiwan Semiconductor Manufacturing Co Ltd. Target mounting apparatus for vapor deposition system
US6050446A (en) * 1997-07-11 2000-04-18 Applied Materials, Inc. Pivoting lid assembly for a chamber
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6527865B1 (en) * 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6176198B1 (en) * 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US7090727B2 (en) * 2001-08-17 2006-08-15 Micron Technology, Inc. Heated gas line body feedthrough for vapor and gas delivery systems and methods for employing same
US6776848B2 (en) * 2002-01-17 2004-08-17 Applied Materials, Inc. Motorized chamber lid
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7001447B1 (en) * 2003-04-22 2006-02-21 Electric Power Research Institute Polarity reversing circuit for electrostatic precipitator system
KR100512740B1 (ko) * 2003-06-19 2005-09-07 삼성전자주식회사 반응장치
US7413612B2 (en) * 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP2005277300A (ja) * 2004-03-26 2005-10-06 Nissin Electric Co Ltd 真空処理装置
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
JP4841854B2 (ja) * 2005-03-30 2011-12-21 大日本スクリーン製造株式会社 熱処理装置
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
JP4889326B2 (ja) * 2006-03-13 2012-03-07 東京エレクトロン株式会社 処理装置および蓋体の開閉機構
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
CN102414794B (zh) * 2009-04-21 2015-01-28 应用材料公司 改良膜厚度不均匀性与粒子表现的cvd设备

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber

Also Published As

Publication number Publication date
WO2010123877A2 (en) 2010-10-28
TWI499688B (zh) 2015-09-11
SG174993A1 (en) 2011-11-28
WO2010123877A3 (en) 2011-01-20
SG10201401671SA (en) 2014-07-30
KR20120027257A (ko) 2012-03-21
JP2012525005A (ja) 2012-10-18
CN102414794A (zh) 2012-04-11
TW201105815A (en) 2011-02-16
US20100294199A1 (en) 2010-11-25
US9312154B2 (en) 2016-04-12
KR101671158B1 (ko) 2016-11-01
JP5822823B2 (ja) 2015-11-24

Similar Documents

Publication Publication Date Title
CN102414794B (zh) 改良膜厚度不均匀性与粒子表现的cvd设备
KR102164599B1 (ko) 화학 기상 증착 프로세스 동안의 동적 웨이퍼 레벨링/틸팅/스위블링
CN103649369B (zh) Cvd反应器的进气机构
US5551985A (en) Method and apparatus for cold wall chemical vapor deposition
US8444926B2 (en) Processing chamber with heated chamber liner
KR102620633B1 (ko) 왕복식 회전 cvd 장치 및 응용 방법
CN107429393A (zh) 具有热盖的原子层沉积腔室
CN112048713A (zh) 加热装置、包括该加热装置的cvd设备
CN104471678A (zh) 用于输送工艺气体至基板的方法和设备
CN111066133A (zh) 用于改善热化学气相沉积(cvd)均匀性的设备和方法
CN107400875A (zh) 一种热丝化学气相沉积设备
US20130344246A1 (en) Dual-Chamber Reactor for Chemical Vapor Deposition
CN112951744A (zh) 用于pecvd设备的加热装置、真空镀膜设备和加热方法
US20070175394A1 (en) Film forming apparatus
CN214313146U (zh) 用于pecvd设备的加热装置和真空镀膜设备
CN212128297U (zh) 辅助加热器、加热装置以及包括该加热装置的cvd设备
CN219547089U (zh) 一种立式成膜装置
CN211005612U (zh) Lpcvd和ald两用布气装置
CN116481299A (zh) 一种回转窑
CN112410758A (zh) Lpcvd和ald两用布气装置
CN111172516A (zh) 进气装置和化学气相沉积设备
CN115717681A (zh) 一种用于前驱体固体源的钢瓶
CN116334591A (zh) 一种立式成膜装置
KR101214954B1 (ko) 공정챔버로 공급되는 반응가스용 히팅장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150128

CF01 Termination of patent right due to non-payment of annual fee