KR102164599B1 - 화학 기상 증착 프로세스 동안의 동적 웨이퍼 레벨링/틸팅/스위블링 - Google Patents

화학 기상 증착 프로세스 동안의 동적 웨이퍼 레벨링/틸팅/스위블링 Download PDF

Info

Publication number
KR102164599B1
KR102164599B1 KR1020207013471A KR20207013471A KR102164599B1 KR 102164599 B1 KR102164599 B1 KR 102164599B1 KR 1020207013471 A KR1020207013471 A KR 1020207013471A KR 20207013471 A KR20207013471 A KR 20207013471A KR 102164599 B1 KR102164599 B1 KR 102164599B1
Authority
KR
South Korea
Prior art keywords
substrate support
substrate
dynamically adjusting
mounting position
way
Prior art date
Application number
KR1020207013471A
Other languages
English (en)
Other versions
KR20200053660A (ko
Inventor
아미트 쿠마르 반살
주안 카를로스 로차-알바레츠
카르딕 자나키라만
투안 앤 응구옌
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200053660A publication Critical patent/KR20200053660A/ko
Application granted granted Critical
Publication of KR102164599B1 publication Critical patent/KR102164599B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Abstract

본원에서 설명되는 구현들은 일반적으로, 증착 프로세스 동안 기판 지지부와 가스 분배 매체 사이의 프로세스 간격을 동적으로 실시간으로 제어하는 것에 관한 것이다. 증착 프로세스 동안의 임의의 시간에 가스 분배 매체에 대한 기판 평면의 각도 및 간격을 변화시키기 위해 다차원 자유도들이 활용된다. 따라서, 기판 및/또는 기판 지지부는, 개선된 막 균일성을 달성하기 위해 증착 프로세스 동안 레벨링되고, 틸팅되고, 스위블링되고, 워블링되고, 그리고/또는 이동될 수 있다. 게다가, 기판 상의 효과적인 증착을 평균화하기 위해, 샤워헤드에 대한 기판 평면의 레벨링의 연속적인 변동들로 인해, 각각의 층의 독립적인 튜닝이 이루어질 수 있어서, 전체적인 스택 증착 성능이 개선된다.

Description

화학 기상 증착 프로세스 동안의 동적 웨이퍼 레벨링/틸팅/스위블링{DYNAMIC WAFER LEVELING/TILTING/SWIVELING DURING A CHEMICAL VAPOR DEPOSITION PROCESS}
[0001] 본 개시내용의 구현들은 일반적으로, 반도체 제조에 관한 것으로, 더 구체적으로는, 증착 프로세스 동안에 기판 상에 형성되는 층의 원하는 두께 균일성을 달성하기 위한 방법들 및 장치에 관한 것이다.
[0002] 반도체 디바이스들의 제조는 가스들의 화학 반응에 의한 반도체 기판 상의 박막의 형성을 수반한다. 그러한 증착 프로세스는 화학 기상 증착(CVD; chemical vapor deposition)으로 지칭된다. 종래의 열적 CVD 프로세스들은 반응성 가스들을, 원하는 막을 생성하기 위해 열-유도 화학 반응들이 발생할 수 있는 기판 표면에 공급한다. 플라즈마 강화 CVD 프로세스들은, 기판 표면 근처의 반응 구역에 에너지, 이를테면, 라디오 주파수(RF; radio frequency) 에너지를 인가함으로써 반응 가스들의 여기 및/또는 해리(dissociation)를 촉진시키며, 그에 따라, 고반응성 종의 플라즈마가 생성된다. 릴리즈된 종의 높은 반응성은, 화학 반응이 발생하는 데 필요한 에너지를 감소시키며, 그에 따라, 그러한 CVD 프로세스들에 대한 온도를 낮춘다.
[0003] 기판은 챔버 내에서의 프로세싱 동안에, 이를테면, 기판 상에서의 층의 형성 동안에 기판 지지부 상에 놓여 있다. 기판 지지부는 통상적으로, 기판 프로세싱 동안에 기판을 지지하고 가열하는 기판 가열기이다. 기판은 가열기의 가열기 표면 위에 놓이고, 열이 기판의 최하부에 공급된다. 일부 기판 가열기들은, 예컨대 가열기 표면 아래에 배치되거나 또는 가열기 표면에 또는 가열기 표면 상의 플레이트에 임베딩된 저항성 코일들과 같이 전기 가열에 의해 저항성으로 가열된다. 기판 가열기로부터의 열은, 도핑되지 않은 실리케이트 유리(USG; undoped silicate glass) 층들, 도핑된 실리케이트 유리 층들 등을 포함하는 층들을 증착하기 위한 열적 CVD와 같은 열적으로 구동되는 프로세스들에서 주요 에너지원이다.
[0004] 기판 지지부는 통상적으로, 가스 분배 매체 반대편의 기판을 지지하며, 가스 분배 매체를 통해 반응 가스가 챔버에 공급된다. 가스 분배 매체는 종종, 하나 또는 그 초과의 가스들을 챔버에 공급하기 위한 가스 분배 어셈블리의 부분이다. 가스 분배 어셈블리는 평평한 표면 샤워헤드(flat surface showerhead), 면판(faceplate), 프로파일드 샤워헤드(profiled showerhead), 일련의 노즐들의 형태이거나, 또는 일 포지션에 고정된 임의의 형태일 수 있다. 가스 분배 매체로부터 기판으로의 가스 유동은, 기판 상에 형성되는 층의 균일성, 이를테면, 층의 두께에 영향을 미친다. 게다가, 기판 지지부는, 기판 평면과, 화학 증기가 분배되는 포지션 사이의 프로세스 간격에 민감하다.
[0005] 기판 지지부의 포지셔닝 및/또는 이동은 통상적으로, 프로세싱을 위한 준비에서 기판의 포지션을 조정하도록 수행된다. 일단 원하는 포지셔닝이 달성되면, 포지션은 통상적으로, 증착 프로세스 동안에 기판이 이동하지 않는 것을 보장하도록 유지된다. 그러나, 증착 불균일성들은 증착 동안의 기판의 제한된 이동에도 불구하고 여전히 존재한다.
[0006] 따라서, 다차원 자유도들(multi-dimensional degrees of freedom)이 증착 동안에 가스 분배 매체에 대한 기판 평면의 간격 및 각도를 변화시키는 것을 가능하게 하는, 가스 분배 부재와 기판 지지부 사이의 프로세스 간격의 동적 실시간 제어를 가능하게 하기 위한 방법 및 시스템이 당해 기술분야에 필요하다.
[0007] 본원에서 설명되는 구현들은 일반적으로, 증착 프로세스 동안의 기판 지지부와 가스 분배 매체 사이의 프로세스 간격의 동적 실시간 제어에 관한 것이다. 증착 프로세스 동안의 임의의 시간에 가스 분배 매체에 대한 기판 평면의 각도 및 간격을 변화시키기 위해 다차원 자유도들이 활용된다. 따라서, 기판 및/또는 기판 지지부는, 개선된 막 균일성을 달성하기 위해 증착 프로세스 동안 레벨링되고(leveled), 틸팅되고(tilted), 스위블링되고(swiveled), 워블링되고(wobbled), 그리고/또는 이동될 수 있다. 게다가, 기판 상의 효과적인 증착을 평균화하기 위해, 샤워헤드에 대한 기판 평면의 레벨링의 연속적인 변동들로 인해, 각각의 층의 독립적인 튜닝이 이루어질 수 있어서, 전체적인 스택 증착 성능이 개선된다.
[0008] 일 실시예에서, 가스 분배 매체와, 가스 분배 매체 반대편에 배치된 기판 지지부 사이의 프로세싱 간격을 제어하는 방법이 개시된다. 방법은, (a) 기판 지지부 상에 배치된 기판 상에 층을 증착하는 단계, (b) 기판 상의 층의 두께를 측정하는 단계, 및 (c) 기판 상의 기준 위치와 기판 상의 복수의 나머지 위치들 사이의 두께의 차이들을 계산하는 단계를 포함한다. 방법은, (d) 기준 위치와 복수의 나머지 위치들 사이의 두께의 차이들에 기반하여, 기준 위치에 대한, 복수의 나머지 위치들에 대한 프로세싱 간격 조정량들을 결정하는 단계, 및 (e) 기준 위치와 복수의 나머지 위치들 각각 사이의 두께의 차이들을 감소시키기 위해 프로세싱 간격을 실시간으로 동적으로 조정하는 단계를 더 포함한다. 동적으로 조정하는 단계는 증착 동안에 발생한다.
[0009] 다른 실시예에서, 가스 분배 매체와, 가스 분배 매체 반대편에 배치된 기판 지지부 사이의 프로세싱 간격을 제어하는 방법이 개시된다. 방법은, 기판 지지부에 커플링된 제1 장착 위치에서 기판 지지부를 조정하는 단계, 및 기판 지지부에 커플링된 제2 장착 위치에서 기판 지지부를 조정하는 단계를 포함한다. 제1 장착 위치에서 기판 지지부를 조정하는 단계 및 제2 장착 위치에서 기판 지지부를 조정하는 단계는, 증착 불균일성들의 차이를 감소시키기 위해, 화학 기상 증착 프로세스 동안 그리고 실시간으로 발생한다.
[0010] 또 다른 실시예에서, 가스 분배 매체와, 가스 분배 매체 반대편에 배치된 기판 지지부 사이의 프로세싱 간격을 제어하는 방법이 개시된다. 방법은, (a) 기판 지지부 상에 배치된 기판 상에 층을 증착하는 단계, (b) 기판 상의 층의 두께를 측정하는 단계, 및 (c) 기판 상의 기준 위치와 기판 상의 복수의 나머지 위치들 사이의 두께의 차이들을 계산하는 단계를 포함한다. 방법은 또한, (d) 기준 위치와 복수의 나머지 위치들 사이의 두께의 차이들에 기반하여, 기준 위치에 대한, 복수의 나머지 위치들에 대한 프로세싱 간격 조정량들을 결정하는 단계, 및 (e) 기준 위치와 복수의 나머지 위치들 각각 사이의 두께의 차이들을 감소시키기 위해, 기판 지지부에 커플링된 제1 장착 위치에서 실시간으로 기판 지지부를 동적으로 조정하는 단계를 포함한다. 방법은 또한, (f) 기준 위치와 복수의 나머지 위치들 각각 사이의 두께의 차이들을 감소시키기 위해, 기판 지지부에 커플링된 제2 장착 위치에서 실시간으로 기판 지지부를 동적으로 조정하는 단계를 포함하며, 각각의 동적으로 조정하는 단계는 증착 동안 발생한다.
[0011] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 구현들을 참조로 하여 이루어질 수 있는데, 이러한 구현들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 예시적인 구현들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0012] 도 1은 본원에서 설명되는 일 구현에 따라 증착 프로세스 동안에 프로세싱 간격을 제어하기 위한 방법의 동작들을 개략적으로 예시한다.
[0013] 도 2는 본원에서 설명되는 구현들에 따라 증착 프로세스 동안에 프로세싱 간격을 제어하기 위한 방법의 동작들을 개략적으로 예시한다.
[0014] 도 3은 본원에서 설명되는 구현들에 따라 증착 프로세스 동안에 프로세싱 간격을 제어하기 위한 방법의 동작들을 개략적으로 예시한다.
[0015] 도 4a는 본원에서 설명되는 구현들에 따른, 프로세싱 챔버의 단면도를 개략적으로 예시한다.
[0016] 도 4b는 본원에서 설명되는 구현들에 따른, 도 4a의 프로세싱 챔버와 함께 사용하기 위한 장치를 개략적으로 예시한다.
[0017] 이해를 촉진시키기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 일 실시예의 엘리먼트들 및 피처(feature)들이 추가의 언급없이 다른 구현들에 유익하게 통합될 수 있음이 고려된다.
[0018] 본원에서 설명되는 구현들은 일반적으로, 증착 프로세스 동안 기판 지지부와 가스 분배 매체 사이의 프로세스 간격을 동적으로 실시간으로 제어하는 것에 관한 것이다. 증착 프로세스 동안의 임의의 시간에 가스 분배 매체에 대한 기판 평면의 각도 및 간격을 변화시키기 위해 다차원 자유도들이 활용된다. 따라서, 기판 및/또는 기판 지지부는, 개선된 막 균일성을 달성하기 위해 증착 프로세스 동안 레벨링되고, 틸팅되고, 스위블링되고, 워블링되고, 그리고/또는 이동될 수 있다. 게다가, 기판 상의 효과적인 증착을 평균화하기 위해, 샤워헤드에 대한 기판 평면의 레벨링의 연속적인 변동들로 인해, 각각의 층의 독립적인 튜닝이 발생할 수 있어서, 전체적인 스택 증착 성능이 개선된다.
[0019] 본원에서 설명되는 "기판" 또는 "기판 표면"은 일반적으로, 프로세싱이 수행되는 임의의 기판 표면을 나타낸다. 예컨대, 기판 표면은, 애플리케이션에 따라, 실리콘, 실리콘 옥사이드, 도핑된 실리콘, 실리콘 게르마늄, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 임의의 다른 재료들, 이를테면, 금속들, 금속 나이트라이드들, 금속 합금들, 및 다른 전도성 또는 반전도성 재료들을 포함할 수 있다. 기판 또는 기판 표면은 또한, 유전체 재료들, 이를테면, 실리콘 디옥사이드, 실리콘 나이트라이드, 유기실리케이트들, 및 탄소 도핑 실리콘 옥사이드 또는 나이트라이드 재료들을 포함할 수 있다. "기판"이라는 용어는 "웨이퍼"라는 용어를 더 포함할 수 있다. 기판 자체는 임의의 특정 크기 또는 형상으로 제한되지 않는다. 본원에서 설명되는 구현들이 일반적으로, 둥근 기판을 참조하여 이루어지지만, 다른 형상들, 이를테면, 다각형, 정방형, 직사각형, 곡선형, 또는 다른 비-원형 워크피스들이, 본원에서 설명되는 구현들에 따라 활용될 수 있다.
[0020] 도 1은, 프로세싱 챔버 내의 기판 지지부와 가스 분배 부재 사이의 프로세싱 간격을 제어하기 위한 방법(100)의 동작들을 개략적으로 예시한다. 일부 실시예들에서, 기판 지지부는, 프로세싱 볼륨이 기판 지지부와 가스 분배 부재 사이의 영역에 존재하도록, 가스 분배 부재 반대편에 배치된다. 프로세싱 볼륨은, 화학 증기가 분포된 가스 분배 부재의 고정된 평면과 기판 지지 평면 사이에 배치된 갭일 수 있다. 일부 실시예들에서, 가스 분배 부재는 평평한 표면 샤워헤드, 프로파일드 샤워헤드, 일련의 노즐들, 또는 일 포지션에 고정된 임의의 다른 폼 팩터의 분배 매체를 통해 화학 증기를 분배할 수 있다. 방법(100)은, 기판 지지부를 활용하는 모든 화학 기상 증착(CVD) 프로세스들에 적용가능할 수 있다. 방법(100)은, 프로세싱 간격의 동적 및 실시간 제어를 가능하게 하고, 다차원 자유도들이 증착 프로세스 동안의 임의의 시간에 가스 분배 부재에 대한 기판 평면의 각도 및 간격을 변화시키는 것을 추가로 가능하게 한다.
[0021] 프로세싱 챔버는 또한 제어기를 포함할 수 있다. 제어기는 방법(100)의 제어 및 자동화를 가능하게 한다. 제어기는 프로세싱 챔버, 기판 지지부, 각각의 측정 위치, 각각의 측정 디바이스, 및/또는 가스 분배 부재 중 하나 또는 그 초과에 커플링되고 그들과 통신할 수 있다. 일부 실시예들에서, 각각의 측정 디바이스는, 다른 여러 정보 중에서도, 기판 프로세싱, 막 증착, 막 균일성, 기판 지지부 이동에 관한 정보를 제어기에 제공할 수 있다.
[0022] 제어기는 중앙 프로세싱 유닛(CPU; central processing unit), 메모리, 및 지원 회로들(또는 I/O)을 포함할 수 있다. CPU는, 프로세스들(예컨대, 프로세싱 시간 및 기판 포지션 또는 위치)을 모니터링하고 그리고 다양한 프로세스들 및 하드웨어(예컨대, 패턴 생성기들, 모터들 및 다른 하드웨어)를 제어하기 위해 산업 현장들에서 사용되는 임의의 형태의 컴퓨터 프로세서들 중 하나일 수 있다. 메모리는 CPU에 연결되며, 용이하게 이용가능한 메모리, 이를테면, 랜덤 액세스 메모리(RAM; random access memory), 판독 전용 메모리(ROM; random access memory), 플로피 디스크, 하드 디스크, 또는 로컬 또는 원격의, 임의의 다른 형태의 디지털 스토리지 중 하나 또는 그 초과일 수 있다. 소프트웨어 명령들 및 데이터는 CPU에 명령하기 위해 코딩되고 메모리 내에 저장될 수 있다. 지원 회로들은 또한, 종래의 방식으로 프로세서를 지원하기 위해 CPU에 연결된다. 지원 회로들은 종래의 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로, 서브시스템들 등을 포함할 수 있다. 제어기에 의해 판독가능한 프로그램(또는 컴퓨터 명령들)은 본원에서 설명된 방법을 구현하고, 그리고/또는 기판 또는 기판 지지부 상에서 어떤 작업(task)들이 수행가능한지를 결정한다. 프로그램은 제어기에 의해 판독가능한 소프트웨어일 수 있으며, 예컨대, 프로세싱 챔버 내의 기판 지지부의 위치 또는 기판 포지션 및 프로세싱 시간을 모니터링 및 제어하기 위한 코드를 포함할 수 있다.
[0023] 동작(110)에서, 기판 지지부 상에 배치된 기판 상에 층이 증착된다. 층은 CVD 프로세싱 챔버 내의 기판의 가열된 표면과 다양한 가스상(gaseous phase)들 사이의 반응의 결과로서 형성되는 박막 코팅일 수 있다. 동작(120)에서, 기판 상의 층의 두께가 측정된다. 층의 두께는 기판 상의 복수의 위치들에서 측정될 수 있다. 기판 지지부는 기판 또는 기판 지지부와 가스 분배 부재 사이의 간격을 측정하기 위해 복수의 측정 위치들을 포함할 수 있다. 일부 구현들에서, 각각의 측정 위치는 상부에 장착된 측정 디바이스를 포함할 수 있다. 측정 위치들의 수 및 근접성은 변화될 수 있다.
[0024] 동작(130)에서, 기판 상의 기준 위치와 기판 상의 복수의 나머지 위치들 사이의 두께의 차이가 계산된다. 기준 위치는 미리 결정되거나 또는 실시간으로 선택될 수 있다. 임의의 포인트가 기준 위치로서 선택될 수 있고, 기준 위치와 나머지 위치들 사이의 두께 차이들이 계산된다. 기준 위치와 나머지 위치들 사이의 증착 레이트 차이(deposition rate differential)들을 획득하기 위해, 기준 위치와 나머지 위치들 각각 사이의 두께 차이는 증착 시간으로 나눠진다.
[0025] 동작(140)에서, 기준 위치에 대한, 복수의 나머지 위치에 대한 프로세싱 간격 조정량들은, 기판 상에 층을 형성하기 위한 증착 시간으로 나눈, 기준 위치와 복수의 나머지 위치들 사이의 두께의 차이들에 기반하여 결정된다. 일부 구현들에서, 프로세싱 간격 조정량들은, 기판 상에 층을 형성하기 위한 증착 시간에, 층에 대한 개선된 두께 균일성을 제공하기 위해 사전에 결정된 상관 팩터(correlation factor)를 곱함으로써, 개선된다. 상관 팩터는 간격의 변화를 층의 증착 두께 레이트로 나눈 비율에 비례한다. 간격의 변화는 가스 분배 부재와, 각각의 나머지 위치에 대한 기판 지지부 사이의 공간의 차이에 의해 결정될 수 있다.
[0026] 동작(150)에서, 기준 위치와 복수의 나머지 위치들 각각 사이의 두께의 차이들을 감소시키기 위해, 프로세싱 간격이 실시간으로 동적으로 조정된다. 동적 조정은 증착 동안에 발생한다. 일부 구현들에서, 동적 조정은 증착 동안 적어도 한 번 발생할 수 있는 한편, 다른 구현들에서, 동적 조정은 증착 동안 여러 번 발생할 수 있다. 다른 구현들에서, 동적 조정은 증착 동안 계속해서 발생할 수 있다. 테스트가 수행되었고, 결과들은 기판 상의 층의 증착 레이트가, 기판과 가스 분배 부재 사이의 간격과 상관될 수 있음을 표시하였으며, 따라서, 기판 상에 형성된 층의 두께의 균일성은, 증착 프로세스 동안 기판이 놓여 있는 기판 지지부의 틸트(tilt)를 변화시킴으로써 조정될 수 있다.
[0027] 동적 조정은, 증착 프로세스 동안의 기판 또는 기판 지지부의 레벨링(leveling), 틸팅(tilting), 스위블링(swiveling), 워블링(wobbling), 셰이킹(shaking), 바이브레이팅(vibrating) 등을 포함할 수 있다. 일부 구현들에서, 동적 조정은 증착 동안 기판 또는 기판 지지부의 평면을 계속해서 변화시키는 것을 포함할 수 있다. 다른 구현들에서, 동적 조정은 복수의 나머지 위치들 각각의 평면을 계속해서 변화시키는 것을 포함할 수 있다. 또한, 동적 조정은 가스 분배 부재에 대한 기판 지지부의 평면의 간격 및/또는 각도를 변경하는 것을 포함할 수 있다.
[0028] 일부 구현들에서, 동작들(110-150) 각각은, 증착이 완료될 때까지 반복될 수 있다.
[0029] 도 2는, 가스 분배 부재와, 가스 분배 부재 반대편에 배치된 기판 지지부 사이의 프로세싱 간격을 제어하는 방법(200)의 동작들을 개략적으로 예시한다. 가스 분배 부재 및 기판 지지부는 프로세싱 챔버 내에 각각 배치되고, 일부 구현들에서, 가스 분배 부재는 기판 지지부 근처에 배치된다. 일부 실시예들에서, 기판 지지부는, 프로세싱 볼륨이 기판 지지부와 가스 분배 부재 사이의 영역에 존재하도록, 가스 분배 부재 반대편에 배치된다. 방법(200)과 관련하여 개시되는 가스 분배 부재, 기판 지지부, 프로세싱 챔버, 갭, 및/또는 프로세싱 볼륨은, 위에서 논의된 방법(100)과 관련하여 개시된 프로세싱 볼륨과 실질적으로 유사할 수 있다. 게다가, 방법(200)과 관련하여 개시되는 프로세싱 챔버는 또한, 제어기를 포함할 수 있다. 제어기는 방법(200)의 제어 및 자동화를 가능하게 하고, 위에서 논의된 방법(100)과 관련하여 개시된 제어기와 실질적으로 유사하다. 방법(200)은, 기판 지지부를 활용하는 모든 화학 기상 증착(CVD) 프로세스들에 적용가능할 수 있다. 방법(200)은, 프로세싱 간격의 동적 및 실시간 제어를 가능하게 하고, 다차원 자유도들이 증착 프로세스 동안의 임의의 시간에 가스 분배 부재에 대한 기판 평면의 각도 및 간격을 변화시키는 것을 추가로 가능하게 한다.
[0030] 동작(210)에서, 기판 지지부는, 기판 지지부에 커플링된 제1 장착 위치에서 동적으로 조정된다. 소정의 구현들에서, 기판 지지부는 하나 또는 그 초과의 조정 부재들에 커플링될 수 있다. 더욱이, 일부 실시예들에서, 하나 또는 그 초과의 조정 부재들은 기판 지지부 위에 분포된 복수의 장착 위치들에서 기판 지지부에 커플링될 수 있다. 조정 부재들은 장착 위치들에서 가스 분배 플레이트와 기판 지지부 사이의 간격을 변화시키기 위해 독립적으로 조정가능하다. 따라서, 복수의 대응하는 장착 위치들에서 가스 분배 플레이트와 기판 지지부 사이의 간격이 변경되고, 그에 따라 가스 분배 부재에 대한 기판 지지부의 틸트가 조정된다. 일부 실시예들에서, 하나 또는 그 초과의 장착 위치들은 기판 지지부의 중심에 대해 기판 지지부 둘레에 균일하게 분포될 수 있다. 게다가, 하나 또는 그 초과의 장착 위치들은 기판 지지부의 최하부 측 상에 배치될 수 있다. 일부 구현들에서, 적어도 3개의 장착 위치들이 활용될 수 있으며, 각각의 장착 위치는 그 각각의 장착 위치에 동작가능하게 커플링된 조정 부재를 갖는다.
[0031] 동작(220)에서, 기판 지지부는, 기판 지지부에 커플링된 제2 장착 위치에서 동적으로 조정된다. 제1 장착 위치에서 기판 지지부를 동적으로 조정하는 것 및 제2 장착 위치에서 기판 지지부를 동적으로 조정하는 것은, 증착 불균일성들의 차이를 감소시키기 위해, 화학 기상 증착 프로세스 동안 그리고 실시간으로 발생한다.
[0032] 각각의 동적 조정은 증착 프로세스 동안에 기판 지지부 또는 기판의 레벨링, 틸팅, 스위블링, 또는 워블링 중 하나를 포함할 수 있다. 소정의 구현들에서, 제1 장착 위치에서의 기판 지지부의 동적 조정 및 제2 장착 위치에서의 기판 지지부의 동적 조정은 동시에 발생하는 한편, 다른 구현들에서, 그 각각은 상이한 시간들에서 발생하고 그리고/또는 별개의 시간들에서 시작할 수 있다. 방법(200)은, 화학 기상 증착 프로세스가 완료될 때까지, 제1 장착 위치에서 기판 지지부를 동적으로 조정하는 것 및 제2 장착 위치에서 기판 지지부를 동적으로 조정하는 것을 반복하는 단계를 더 포함할 수 있다.
[0033] 더욱이, 소정의 구현들에서, 방법(200)은, 기판 지지부에 커플링된 제3 장착 위치에서 기판 지지부를 동적으로 조정하는 단계를 더 포함할 수 있으며, 제3 장착 위치에서 기판 지지부를 동적으로 조정하는 것은, 증착 불균일성들의 차이를 감소시키기 위해, 화학 기상 증착 프로세스 동안 그리고 실시간으로 발생한다. 제3 장착 위치에서의 기판 지지부의 동적 조정을 포함하는 구현들에서, 제1 장착 위치, 제2 장착 위치, 및 제3 장착 위치에서의 기판 지지부의 동적 조정 각각은 동시에 발생할 수 있거나, 또는 일부 구현들에서, 그 각각은 상이한 시간들에서 시작할 수 있고, 그리고/또는 그 각각은 별개의 시간들에서 발생할 수 있다.
[0034] 도 3은, 가스 분배 부재와, 가스 분배 부재 반대편에 배치된 기판 지지부 사이의 프로세싱 간격을 제어하는 방법(300)의 동작들을 개략적으로 예시한다. 가스 분배 부재 및 기판 지지부는 프로세싱 챔버 내에 각각 배치되며, 일부 구현들에서, 가스 분배 부재는 기판 지지부 근처에 배치된다. 일부 실시예들에서, 기판 지지부는, 프로세싱 볼륨이 기판 지지부와 가스 분배 부재 사이의 영역에 존재하도록, 가스 분배 부재 반대편에 배치된다. 방법(300)과 관련하여 개시되는 가스 분배 부재, 기판 지지부, 프로세싱 챔버, 갭, 및/또는 프로세싱 볼륨은, 위에서 논의된 방법(100) 및/또는 방법(200)과 관련하여 개시된 프로세싱 볼륨과 실질적으로 유사할 수 있다. 게다가, 방법(300)과 관련하여 개시되는 프로세싱 챔버는 또한, 제어기를 포함할 수 있다. 제어기는 방법(300)의 제어 및 자동화를 가능하게 하고, 위에서 논의된 방법(100) 및/또는 방법(200)과 관련하여 개시된 제어기와 실질적으로 유사하다. 방법(300)은, 기판 지지부를 활용하는 모든 화학 기상 증착(CVD) 프로세스들에 적용가능할 수 있다. 방법(300)은, 프로세싱 간격의 동적 및 실시간 제어를 가능하게 하고, 다차원 자유도들이 증착 프로세스 동안의 임의의 시간에 가스 분배 부재에 대한 기판 평면의 각도 및 간격을 변화시키는 것을 추가로 가능하게 한다.
[0035] 동작(310)에서, 기판 지지부 상에 배치된 기판 상에 층이 증착된다. 층은 CVD 프로세싱 챔버 내의 기판의 가열된 표면과 다양한 가스상들 사이의 반응의 결과로서 형성되는 박막 코팅일 수 있다. 동작(320)에서, 기판 상에 배치된 층의 두께가 측정된다. 층의 두께는 기판 상의 하나의 위치에서 또는 복수의 위치들에서 측정될 수 있다. 기판 지지부는 기판 또는 기판 지지부와 가스 분배 부재 사이의 간격을 측정하기 위해 복수의 측정 위치들을 포함할 수 있다. 일부 구현들에서, 각각의 측정 위치는 상부에 장착된 측정 디바이스를 포함할 수 있다. 측정 위치들의 수 및 근접성은 변화될 수 있다.
[0036] 동작(330)에서, 기판 상의 기준 위치와 기판 상의 복수의 나머지 위치들 사이의 두께의 차이가 계산된다. 기준 위치는 미리 결정되거나 또는 실시간으로 선택될 수 있다. 임의의 포인트가 기준 위치로서 선택될 수 있고, 기준 위치와 나머지 위치들 사이의 두께 차이들이 계산된다. 기준 위치와 나머지 위치들 사이의 증착 레이트 차이들을 획득하기 위해, 기준 위치와 나머지 위치들 각각 사이의 두께 차이는 증착 시간으로 나눠진다.
[0037] 동작(340)에서, 프로세싱 간격 조정량들은 기준 위치에 대한 복수의 나머지 위치들에 대해 결정된다. 프로세싱 간격 조정량들은 기준 위치와 복수의 나머지 위치들 사이의 두께의 차이들에 기반하여 결정된다. 일부 구현들에서, 결정하는 것은, 기판 상에 층을 형성하기 위한 증착 시간으로 나눈, 기준 위치와 복수의 나머지 위치들 각각 사이의 두께의 차이들에, 층에 대한 개선된 두께 균일성을 제공하기 위해 사전에 결정된 상관 팩터를 곱하는 것을 포함한다. 상관 팩터는 간격의 변화를 층의 증착 두께 레이트로 나눈 비율에 비례한다. 간격의 변화는 가스 분배 부재와, 기판 지지부 또는 각각의 나머지 위치 사이의 공간의 차이일 수 있다.
[0038] 동작(350)에서, 기판 지지부는, 기준 위치와 복수의 나머지 위치들 각각 사이의 두께의 차이들을 감소시키기 위해, 기판 지지부에 커플링된 제1 장착 위치에서 실시간으로 동적으로 조정된다. 소정의 구현들에서, 기판 지지부는 하나 또는 그 초과의 조정 부재들에 커플링될 수 있다. 더욱이, 일부 실시예들에서, 하나 또는 그 초과의 조정 부재들은 기판 지지부 위에 분포된 하나 또는 그 초과의 장착 위치들에서 기판 지지부에 커플링될 수 있다. 조정 부재들은 장착 위치들에서 가스 분배 플레이트와 기판 지지부 사이의 간격을 변화시키기 위해 독립적으로 조정가능하다. 따라서, 복수의 대응하는 장착 위치들에서 가스 분배 플레이트와 기판 지지부 사이의 간격이 변경되고, 그에 따라 가스 분배 부재에 대한 기판 지지부의 틸트가 조정된다. 일부 실시예들에서, 하나 또는 그 초과의 장착 위치들은 기판 지지부의 중심에 대해 기판 지지부 둘레에 균일하게 분포될 수 있다. 게다가, 하나 또는 그 초과의 장착 위치들은 기판 지지부의 최하부 측 상에 배치될 수 있다. 일부 구현들에서, 적어도 3개의 장착 위치들이 활용될 수 있으며, 각각의 장착 위치는 그 각각의 장착 위치에 동작가능하게 커플링된 조정 부재를 갖는다.
[0039] 동작(360)에서, 기판 지지부는, 기준 위치와 복수의 나머지 위치들 각각 사이의 두께의 차이들을 감소시키기 위해, 기판 지지부에 커플링된 제2 장착 위치에서 실시간으로 동적으로 조정된다. 동작(350) 및 동작(360) 각각에서, 동적 조정은 증착 동안에 발생한다. 소정의 실시예들에서, 방법(300)은, 증착이 완료될 때까지, 동작(310), 동작(320), 동작(330), 동작(340), 및 동작(350) 각각을 반복하는 단계를 더 포함할 수 있다. 각각의 동적 조정은 증착 프로세스 동안에 기판 지지부 또는 기판의 레벨링, 틸팅, 스위블링, 또는 워블링 중 하나를 포함할 수 있다.
[0040] 소정의 구현들에서, 제1 장착 위치에서의 기판 지지부의 동적 조정 및 제2 장착 위치에서의 기판 지지부의 동적 조정은 동시에 발생하는 한편, 다른 구현들에서, 그 각각은 상이한 시간들에서 발생하고 그리고/또는 별개의 시간들에서 시작할 수 있다. 게다가, 제1 장착 위치에서 기판 지지부를 동적으로 조정하는 것 및 제2 장착 위치에서 기판 지지부를 동적으로 조정하는 것은, 증착 불균일성들의 차이를 감소시키기 위해, 화학 기상 증착 프로세스 동안 그리고 실시간으로 발생한다.
[0041] 더욱이, 소정의 구현들에서, 방법(300)은, 기판 지지부에 커플링된 제3 장착 위치에서 기판 지지부를 동적으로 조정하는 단계를 더 포함할 수 있으며, 제3 장착 위치에서 기판 지지부를 동적으로 조정하는 것은, 증착 불균일성들의 차이를 감소시키기 위해, 화학 기상 증착 프로세스 동안 그리고 실시간으로 발생한다. 제3 장착 위치에서의 기판 지지부의 동적 조정을 포함하는 구현들에서, 제1 장착 위치, 제2 장착 위치, 및 제3 장착 위치에서의 기판 지지부의 동적 조정 각각은 동시에 발생할 수 있거나, 또는 일부 구현들에서, 그 각각은 상이한 시간들에서 시작할 수 있고, 그리고/또는 그 각각은 별개의 시간들에서 발생할 수 있다.
[0042] 소정의 구현들에서, 동적 기판 레벨링, 틸팅, 스위블링, 또는 워블링은, 위에서 논의된 바와 같이, 장착 위치들 중 하나 또는 그 초과에서의 수직 조정을 자동화함으로써 달성된다. 일부 구현들에서, 기판 지지부에 동작가능하게 연결된 적어도 2개의 장착 위치들의 수직 조정이 이루어질 수 있는 한편, 제3 장착 위치들을 고정되게 유지하는 것은 기판 지지부를 레벨링, 틸팅, 스위블링, 또는 워블링할 수 있다.
[0043] 도 4a는 단일 CVD 반응기(400)의 구현의 단면도를 개략적으로 예시한다. 일부 구현들에서 그리고 도 4a에 도시된 바와 같이, 반응기(400)는 프로세싱 챔버(450), 전력 소스(416), 가스 패널(436), 펌핑 시스템(438), 및 제어기(446)를 포함할 수 있다.
[0044] 본원에서 개시되는 CVD 반응기(400) 및/또는 프로세싱 챔버(450)는 위에서 논의된 방법(100), 방법(200), 또는 방법(300)을 수행하는 데 활용될 수 있다.
[0045] 프로세싱 챔버(450)는 일반적으로, 최하부 어셈블리(454), 상부 어셈블리(452), 및 페디스털 리프트 어셈블리(431)를 포함한다. 프로세싱 챔버(450)는, 아래에서 설명되는 바와 같이, 챔버 환경을 제어 또는 변경하기 위해 추가의 장치를 포함할 수 있다.
[0046] 최하부 어셈블리(454)는 프로세싱 챔버(450)의 내부를 부분적으로 정의하는 벽(406)을 갖는 챔버 바디(456)를 포함한다. 벽(406)은 실질적으로 원통형일 수 있고, 리드(lid)(410)에 의해 상부 단부에서 폐쇄될 수 있다. 벽(406)의 섹션들은 열적으로 조절될 수 있다. 예컨대, 일부 실시예들에서, 복수의 도관들(도시되지 않음)이 벽(406)에 배치될 수 있고, 벽(406)의 온도를 조절하기 위해 열 전달 유체를 순환시키도록 구성될 수 있다.
[0047] 기판 지지부(411)는 프로세싱 동안 기판을 지지하기 위해 최하부 어셈블리(454)에 배치된다. 기판 지지부(411)는 기판의 온도 및/또는 프로세싱 챔버(450)의 프로세싱 볼륨(468) 내의 온도를 조절하도록 구성된 가열기(420)를 포함할 수 있다. 가열기(420)는 전력 소스(416)에 커플링되고, 일부 실시예들에서는, 기판을 최대 대략 800℃의 온도로 유지할 수 있다.
[0048] 슬릿 밸브 개구(414)는, 프로세싱 챔버(450) 내로의 그리고 프로세싱 챔버(450) 밖으로의 기판들의 진입(entry) 및 퇴장(egress)을 가능하게 하기 위해, 챔버 바디(456)의 벽(406)에 포지셔닝될 수 있다.
[0049] 상부 어셈블리(452)는 일반적으로 리드(410)를 포함하고, 가스 공급 유입구(gas feed inlet)들, 가스 혼합기, 원격 플라즈마 소스, 및 하나 또는 그 초과의 가스 분배 부재들(466)을 더 포함할 수 있다. 리드(410)는 최하부 어셈블리(454)에 이동가능하게 커플링될 수 있다. 리드(410)는, 리드(410)를 원하는 온도로 유지하는 것을 돕기 위해, 열 전달 유체를 자신들을 통해 유동시키기 위한 하나 또는 그 초과의 홈(groove)들 또는 채널들(478)을 더 포함할 수 있다. 일부 구현들에서, 열 전달 유체를 채널들(478)로/채널들(478)로부터 라우팅하기 위해, 매니폴드(480)가 제공될 수 있다.
[0050] 가스 패널(436)은 프로세스 화학물질(process chemical)들을 액체 및/또는 가스상 형태로 프로세싱 챔버(450)에 제공한다. 가스 패널(436)은 복수의 가스 라인들을 사용하여 리드(410)에 커플링된다. 각각의 가스 라인은 특정 화학물질들을 가스 패널(436)로부터 유입구 포트(458)로 전달하도록 적응될 뿐만 아니라 온도 제어될 수 있다. 일부 실시예들에서, 프로세스 챔버(450)로의 프로세스 화학물질들의 전달을 가능하게 하기 위해, 리드(410)의 상부 표면에 커플링된 리드 매니폴드(464)에 하나 또는 그 초과의 가스 공급 유입구들(462)이 제공될 수 있다.
[0051] 리드 매니폴드(464)는 일반적으로, 프로세스 재료들을 리드(410)를 통해 프로세스 챔버에 제공한다. 일부 실시예들에서, 리드(410)는 유입구 포트(458) 및 혼합기(413)를 포함할 수 있다. 혼합기(413)는, 프로세싱 챔버(450)의 내부, 이를테면, 프로세싱 볼륨(468)에 프로세스 재료들을 제공하기 위해, 가스 분배 부재(466), 이를테면, 샤워헤드로 이어질 수 있다. 샤워헤드는 복수의 개구들을 통해, 가스 패널(436)로부터 전달된 가스들 또는 증기들의 분배를 제공한다.
[0052] 예컨대, 프로세싱 동안, 공급 가스(feed gas)는, 유입구 포트(458)를 통해 리드(410) 내의 혼합기(413) 및 제1 차단기 플레이트(404) 내의 홀들(도시되지 않음)로 전달되기 전에, 가스 전달 시스템(예컨대, 가스 패널(436) 및 연관된 장치)을 통해 프로세싱 챔버(450)에 진입할 수 있다. 이어서, 공급 가스는 제1 차단기 플레이트(404)와 제2 차단기 플레이트(405) 사이에 생성된 혼합 구역(402)을 통해 이동한다. 제2 차단기 플레이트(405)는 면판 연장부(403)에 의해 구조적으로 지지된다. 공급 가스가 제2 차단기 플레이트(405)의 홀들을 통과한 후에, 공급 가스는 면판(408) 내의 홀들을 통해 유동하고, 그리고 챔버 벽(406), 면판(408) 및 기판 지지부(411)에 의해 정의된 메인 프로세싱 구역에 진입한다. 선택적으로, 프로세싱 챔버(450)는, 혼합 구역(402)을 가열하기 위해 면판 연장부(403)에 열을 제공하도록 가열되는, 리드(410)와 챔버 벽(406)의 상부 표면 사이에 배치된 삽입부(insert)(401)를 포함할 수 있다.
[0053] 페디스털 리프트 어셈블리(431)가 프로세싱 챔버(450)의 베이스(460)에 커플링되고, 기판 지지부(411)에 추가로 커플링된다. 페디스털 리프트 어셈블리(431)는 리프트 핀들(422)의 세트, 리프트 플레이트(418), 및 리프트 메커니즘(430)을 포함할 수 있다. 동작에서, 페디스털 리프트 어셈블리(431)는 프로세싱 포지션과 하강된 포지션 사이에서의 기판 지지부(411)의 엘리베이션(elevation)을 제어하며, 그러한 하강된 포지션으로부터 기판이 슬릿 밸브 개구(414)를 통해 프로세싱 챔버(450) 내로 그리고 프로세싱 챔버(450) 밖으로 이송될 수 있다. 기판 지지부(411)는, 프로세싱 챔버(450)의 내부와 프로세싱 챔버(450)의 외부 사이의 기밀 밀봉(airtight seal)을 유지하기 위해 가요성 벨로우즈(432)를 사용하여 챔버 바디(456)에 커플링된다.
[0054] 펌핑 시스템(438)은 일반적으로, 프로세싱 챔버(450)의 내부 볼륨 내의 압력을 제어하도록 배열된 하나 또는 그 초과의 펌프들 및 스로틀 밸브를 포함한다. 프로세싱 챔버(450) 밖으로 유동하는 가스들은 펌핑 링을 통해 라우팅되어, 기판의 표면에 걸쳐 가스 유동 균일성을 향상시킨다. 예컨대, 배기 가스들은, 배기 펌핑 플레이트(409)를 통해, 그리고 벽(406)에 형성되고 배기 펌핑 플레이트(409)에 커플링된 펌핑 포트(426)를 통해, 그리고 궁극적으로는 펌핑 시스템(438)을 통해 챔버에서 나갈 수 있다. 배기 펌핑 플레이트(409)는 챔버의 프로세싱 구역으로부터의 배기의 유동을 제어하도록 구성된다. 배기 펌핑 플레이트(409)는 스커트(skirt)를 포함할 수 있으며, 스커트는 하향으로 연장되고, 그 단면을 관통하여 형성된 복수의 홀들(407)을 갖는다. 일련의 슬릿 형상 홀들로 도시된 홀들(407)을 갖는, 배기 펌핑 플레이트(409)의 스커트의 단면은 슬릿 밸브 개구(414) 근처의 열 손실을 보상하는 것을 가능하게 한다. 일부 실시예들에서, 배기 펌핑 플레이트(409)는 배기 플레이트 커버(412)를 가질 수 있으며, 배기 플레이트 커버(412)는 배기 펌핑 플레이트(409)의 최상부 상에 놓인다.
[0055] 도 4b는, 본원에서 개시된 방법들을 수행하기 위한, 도 4a에 도시된 바와 같은 프로세싱 챔버(450) 내에 배치된 기판 지지부를 동적으로 조정하기 위한 장치(500)를 개략적으로 예시한다. 도 4a에 도시된 바와 같이, 기판 지지부(411)는 프로세싱 챔버(450) 내에서 가스 분배 부재(466) 근처에 배치되어서, 프로세싱 볼륨(468)이 기판 지지부(411)와 가스 분배 부재(466) 사이에 형성된다. 도 4b에 도시된 바와 같이, 지지부(504)는 페디스털 리프트 어셈블리(431)를 둘러쌀 수 있다. 지지부(504)는 장착 플레이트(502)에 커플링되며, 장착 플레이트(502)는 기판 지지부(411)에 커플링될 수 있다. 장착 플레이트(502)는 장착 플레이트(502) 상의 장착 위치(520)에서 적어도 하나의 조정 부재(518)를 커플링하기 위해 지지 브래킷(supporting bracket)(514)을 포함할 수 있다. 일부 실시예들에서, 장착 플레이트(502)는 3개의 장착 위치들(520)을 포함할 수 있으며, 그러한 3개의 장착 위치들(520)을 각각은 자신들에 커플링된 조정 부재(518)를 갖는다.
[0056] 각각의 조정 부재(518)는 조정 부재(518)의 길이를 조정하기 위해 연장되고 그리고/또는 수축되고, 그에 따라, 레벨, 틸트, 스위블(swivel), 또는 워블(wobble)을 생성할 수 있다. 일부 실시예들에서, 모터(522)가 각각의 조정 부재(518)의 길이를 조정할 수 있다. 조정 부재(518)는 자신에 연결된 메이팅 컴포넌트(mating component)(516)를 갖는다. 일부 실시예들에서, 메이팅 컴포넌트(516)는, 조정 부재(518)로부터 연장되고 지지부(504)에 연결되는 볼 조인트 인터페이스(ball joint interface)이다. 볼 조인트 인터페이스는 일부 실시예들에서 또는 소정의 장착 위치들(520)에서 로킹될(locked) 수 있다. 일부 구현들에서, 제1 볼 조인트 인터페이스는 로킹될 수 있는 한편, 제2 볼 조인트 인터페이스 및/또는 제3 볼 조인트 인터페이스는, 볼 조인트 위치들에 관한 기판 지지부의 수직 조정, 레벨링, 틸팅, 스위블링, 또는 워블링을 제공하도록 인에이블된다. 일부 구현들에서, 수직 조정, 레벨링, 틸팅, 스위블링, 또는 워블링은 모터(522)의 사용을 통해 자동화될 수 있다.
[0057] 도 4a를 다시 참조하면, 프로세싱 챔버(450)는 제어기(446)를 더 포함할 수 있다. 제어기(446)는 프로세싱 챔버(450), 장착 플레이트(502), 기판 지지부(411), 및/또는 이들의 임의의 컴포넌트들의 제어 및 자동화를 가능하게 할 수 있다. 제어기(446)는, 다른 여러 컴포넌트들 중에서도, 프로세싱 챔버(450), 기판 지지부(411), 페디스털 리프트 어셈블리(431), 조정 부재들(518), 장착 플레이트(502) 및/또는 가스 분배 부재(466) 중 하나 또는 그 초과에 커플링되고 그들과 통신할 수 있다. 게다가, 일부 실시예들에서, 제어기(446)는 프로세스 간격 튜닝을 제어 및/또는 자동화할 수 있다. 이전의 프로세싱 실행의 기판 성능이 제공될 때, 제어기(446)는, 상기 기판 성능에 관한 피드백을 수신하고, 그리고 추가로, 기판 상의 결과로부터 스큐(skew)를 동적으로 조정, 오프셋, 레벨링, 스위블링, 틸팅, 워블링 및/또는 이동시키기 위한 새로운 평면을 결정할 수 있다. 따라서, 제어기(446)는 이전의 프로세스 간격 및 달성된 결과들에 기반하는 피드백 루프에 대한 추가의 프로그래밍을 포함할 수 있다. 소정의 실시예들에서, 자동화된 프로세스는 실시간으로 발생할 수 있다.
[0058] 제어기(446)는 중앙 프로세싱 유닛(CPU)(451), 메모리(443), 및 지원 회로들(또는 I/O)(453)을 포함할 수 있다. CPU(451)는, 프로세스들(예컨대, 프로세싱 시간 및 기판 포지션 또는 위치)을 모니터링하고 그리고 다양한 프로세스들 및 하드웨어(예컨대, 패턴 생성기들, 모터들 및 다른 하드웨어)를 제어하기 위해 산업 현장들에서 사용되는 임의의 형태의 컴퓨터 프로세서들 중 하나일 수 있다. 메모리(443)는 CPU(451)에 연결되며, 용이하게 이용가능한 메모리, 이를테면, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 로컬 또는 원격의, 임의의 다른 형태의 디지털 스토리지 중 하나 또는 그 초과일 수 있다. 소프트웨어 명령들 및 데이터는 CPU(451)에 명령하기 위해 코딩되고 메모리(443) 내에 저장될 수 있다. 지원 회로들(453)은 또한, 종래의 방식으로 프로세서를 지원하기 위해 CPU(451)에 연결된다. 지원 회로들(453)은 종래의 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로, 서브시스템들 등을 포함할 수 있다. 제어기에 의해 판독가능한 프로그램(또는 컴퓨터 명령들)은 본원에서 설명된 방법을 구현하고, 그리고/또는 기판 또는 기판 지지부 상에서 어떤 작업들이 수행가능한지를 결정한다. 프로그램은 제어기에 의해 판독가능한 소프트웨어일 수 있고, 예컨대 프로세싱 챔버 내의 기판 지지부의 위치 또는 기판 포지션 및 프로세싱 시간을 모니터링 및 제어하기 위한 코드를 포함할 수 있다.
[0059] 조합하여 수직 자유도로, 증착 동안의 특정 장착 위치들의 조정을 자동화함으로써, 기판 지지 평면은, 적어도 하나의 조정 부재(518) 및/또는 적어도 하나의 메이팅 컴포넌트(516), 이를테면, 볼 조인트, 및 적어도 하나의 장착 위치(520)에 관한 프로세싱 볼륨(468)의 간격의 임의의 변화를 통해 스위핑(sweep)할 수 있다.
[0060] 도시되지 않은 다양한 다른 장치가, 기판 지지부 또는 기판을 레벨링, 틸팅, 스위블링, 셰이킹, 조정, 및/또는 워블링하는 데 활용될 수 있고, 웜 기어 구동 장치(worm gear drive apparatus)를 포함할 수 있으며, 웜 기어 구동 장치는, 그 중에서도, 기판 지지부, 볼 스크루 구동 장치, 또는 공기 베어링 디바이스에 동작가능하게 연결된 적어도 하나의 스레드형 장착 스터드(threaded mounting stud)를 포함할 수 있다.
[0061] 본 개시내용의 이점들은, 기판 지지부와 가스 분배 부재 사이에 배치된 프로세싱 볼륨 내에서의 프로세스 간격의 동적 조정 및 변경을 포함한다. 추가의 이점들은, 더 높은 레벨의 막 증착 균일성을 달성하기 위해 온 더 플라이(on the fly) 방식으로 증착 프로세스 동안에 기판 지지부 및/또는 기판을 레벨링하는 것을 포함한다. 부가적으로, 각각의 조정 부재의 독립적인 레벨링 또는 튜닝은 전체적인 스택 증착 성능들을 개선하는 데 활용된다.
[0062] 추가의 이점들은 가스 분배 부재로부터의 유동 및/또는 컨덕턴스 사이의 프로세스 간격의 동적 조정을 통한 RF 커플링의 변조를 포함한다. RF 생성 플라즈마는 전극들 사이의(예컨대, 가스 분배 부재 대 페디스털(gas distribution member to pedestal)) 간격에 민감하다. 레시피(recipe)들 사이에서 프로세스 간격을 동적으로 변화시키는 능력은, 각각의 막마다 막 증착 성능을 최적화하여 스택 막의 전체적인 성능을 개선한다. 레벨링, 스위블링, 틸팅, 워블링, 및/또는 이동을 통해 이루어지는 그러한 동적 조정들은, 연속적인 기판 이동을 필요로 하는 프로세스들에 대해 기판의 안정성을 개선하기 위해, 기판 척킹 가능 가열기(substrate chucking capable heater)들과 함께 활용된다.
[0063] 게다가, 동적 조정은 또한, 연속적인 기판 이동을 활용하는 프로세스들에 대해 기판의 안정성을 개선하기 위해, 기판 척킹 가능 가열기들과 함께 활용될 수 있다.
[0064] 테스트가 수행되었고, 결과들은, 증착 동안의 가스 분배 부재, 이를테면, 샤워헤드 또는 전극에 대한 기판 지지 평면의 연속적인 변동이, 기판 상의 효과적인 증착의 평균화를 가능하게 한다는 것을 표시한다. 전극들 사이의 갭의 변동은 상이한 증착 레이트들을 유도하고, 그러한 제어는 구역의 증착 레이트를 선택적으로 제어하거나 또는 전체 기판을 평균화(average out)할 수 있다. 게다가, 비-플라즈마 커플링 프로세스들에서, 결과들은, 샤워헤드 사이의 갭을 변화시키는 것이 상이한 유동 컨덕턴스들을 유도하여, 전구체 체류 시간들의 차이를 유발한다는 것을 표시한다. 그러한 제어는 막의 증착 두께에 직접적으로 영향을 미치며, 그에 따라, 제어가 막 두께 균일성을 추가로 개선하는 것을 가능하게 한다.
[0065] 요약하면, 본원에서 설명되는 구현들은 일반적으로, 증착 프로세스 동안 기판 지지부와 가스 분배 매체 사이의 프로세스 간격을 동적으로 실시간으로 제어하는 것에 관한 것이다. 증착 프로세스 동안의 임의의 시간에 가스 분배 매체에 대한 기판 평면의 각도 및 간격을 변화시키기 위해 다차원 자유도들이 활용된다. 따라서, 기판 및/또는 기판 지지부는, 개선된 막 균일성을 달성하기 위해 증착 프로세스 동안 레벨링되고, 틸팅되고, 스위블링되고, 워블링되고, 그리고/또는 이동될 수 있다. 게다가, 기판 상의 효과적인 증착을 평균화하기 위해, 샤워헤드에 대한 기판 평면의 레벨링의 연속적인 변동들로 인해, 각각의 층의 독립적인 튜닝이 이루어질 수 있어서, 전체적인 스택 증착 성능이 개선된다.
[0066] 전술한 바가 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 구현들이, 본 개시내용의 기본적인 범위를 벗어나지 않으면서 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (20)

  1. 가스 분배 부재와, 상기 가스 분배 부재 반대편에 배치된 기판 지지부 사이의 프로세싱 간격을 제어하는 방법으로서,
    (a) 상기 기판 지지부 상에 배치된 기판 상에 층을 증착하는 단계;
    (b) 상기 기판 상의 상기 층의 두께를 측정하는 단계;
    (c) 상기 기판 상의 기준 위치와 상기 기판 상의 복수의 나머지 위치들 사이의 두께의 차이들을 계산하는 단계;
    (d) 상기 기준 위치와 상기 복수의 나머지 위치들 사이의 두께의 차이들에 기반하여, 상기 기준 위치에 대한, 상기 복수의 나머지 위치들에 대한 프로세싱 간격 조정량들을 결정하는 단계; 및
    (e) 상기 기준 위치와 상기 복수의 나머지 위치들 각각 사이의 두께의 차이들을 감소시키기 위해 상기 프로세싱 간격을 실시간으로 동적으로 조정하는 단계를 포함하며,
    상기 동적으로 조정하는 단계는, 상기 기판 지지부에 커플링된 장착 위치들의 볼 조인트 인터페이스들 각각을 로킹(lock)하거나 인에이블링(enable)함으로써 상기 층의 추가적인 증착 동안 발생하는,
    방법.
  2. 제1 항에 있어서,
    (f) 상기 증착이 완료될 때까지 (a) 내지 (e)를 반복하는 단계를 더 포함하는,
    방법.
  3. 제1 항에 있어서,
    상기 동적으로 조정하는 단계는, 증착 동안 상기 기판 지지부 또는 상기 기판을 레벨링(leveling), 틸팅(tilting), 스위블링(swiveling), 또는 워블링(wobbling)하는 단계를 포함하는,
    방법.
  4. 제1 항에 있어서,
    상기 동적으로 조정하는 단계는, 상기 복수의 나머지 위치들 각각의 평면을 계속해서 변화시키는 단계를 포함하는,
    방법.
  5. 제1 항에 있어서,
    상기 프로세싱 간격 조정량들을 결정하는 단계는,
    상기 기판 상에 상기 층을 형성하기 위한 증착 시간으로 나눈, 상기 기준 위치와 상기 복수의 나머지 위치들 각각 사이의 두께의 차이를 결정하는 단계; 및
    상기 층에 대한 개선된 두께 균일성을 제공하기 위해 사전에 결정된 상관 팩터(correlation factor)를 곱하는 단계를 포함하는,
    방법.
  6. 제5 항에 있어서,
    상기 상관 팩터는 간격의 변화를 상기 층의 증착 두께 레이트로 나눈 비율에 비례하는,
    방법.
  7. 제1 항에 있어서,
    상기 동적으로 조정하는 단계는 상기 가스 분배 부재에 대한 상기 기판 지지부의 평면의 각도 및 간격을 변경하는 단계를 더 포함하는,
    방법.
  8. 제1 항에 있어서,
    상기 동적으로 조정하는 단계는 복수의 피벗 포인트들에서 발생하는,
    방법.
  9. 가스 분배 부재와, 상기 가스 분배 부재 반대편에 배치된 기판 지지부 사이의 프로세싱 간격을 제어하는 방법으로서,
    상기 기판 지지부에 커플링된 제1 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계; 및
    상기 기판 지지부에 커플링된 제2 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계를 포함하며,
    상기 제1 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계 및 상기 제2 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계는, 추가적인 증착 동안에 증착 불균일성들의 차이를 감소시키기 위해, 상기 제1 장착 위치 및 상기 제2 장착 위치의 볼 조인트 인터페이스들 각각을 로킹하거나 인에이블링함으로써 화학 기상 증착 프로세스 동안 그리고 실시간으로 발생하는,
    방법.
  10. 제9 항에 있어서,
    상기 제1 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계 및 상기 제2 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계는 동시에 발생하는,
    방법.
  11. 제9 항에 있어서,
    상기 제1 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계 및 상기 제2 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계는 상이한 시간들에서 각각 발생하는,
    방법.
  12. 제9 항에 있어서,
    상기 기판 지지부에 커플링된 제3 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계를 더 포함하며,
    상기 제3 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계는, 증착 불균일성들의 차이를 감소시키기 위해, 상기 화학 기상 증착 프로세스 동안 그리고 실시간으로 발생하는,
    방법.
  13. 제12 항에 있어서,
    상기 제1 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계, 상기 제2 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계, 및 상기 제3 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계는 동시에 발생하는,
    방법.
  14. 제12 항에 있어서,
    상기 제1 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계, 상기 제2 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계, 및 상기 제3 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계는 상이한 시간들에서 각각 시작되는,
    방법.
  15. 제12 항에 있어서,
    상기 제1 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계, 상기 제2 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계, 및 상기 제3 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계는 별개의 시간들에서 각각 발생하는,
    방법.
  16. 제9 항에 있어서,
    상기 화학 기상 증착 프로세스가 완료될 때까지 상기 제1 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계 및 상기 제2 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계를 반복하는 단계를 더 포함하는,
    방법.
  17. 가스 분배 부재와, 상기 가스 분배 부재 반대편에 배치된 기판 지지부 사이의 프로세싱 간격을 제어하는 방법으로서,
    (a) 상기 기판 지지부 상에 배치된 기판 상에 층을 증착하는 단계;
    (b) 상기 기판 상의 상기 층의 두께를 측정하는 단계;
    (c) 상기 기판 상의 기준 위치와 상기 기판 상의 복수의 나머지 위치들 사이의 두께의 차이들을 계산하는 단계;
    (d) 상기 기준 위치와 상기 복수의 나머지 위치들 사이의 두께의 차이들에 기반하여, 상기 기준 위치에 대한, 상기 복수의 나머지 위치들에 대한 프로세싱 간격 조정량들을 결정하는 단계;
    (e) 상기 기준 위치와 상기 복수의 나머지 위치들 각각 사이의 두께의 차이들을 감소시키기 위해, 상기 기판 지지부에 커플링된 제1 장착 위치에서 실시간으로 상기 기판 지지부를 동적으로 조정하는 단계; 및
    (f) 상기 기준 위치와 상기 복수의 나머지 위치들 각각 사이의 두께의 차이들을 감소시키기 위해, 상기 기판 지지부에 커플링된 제2 장착 위치에서 실시간으로 상기 기판 지지부를 동적으로 조정하는 단계를 포함하며,
    각각의 동적으로 조정하는 단계는, 상기 제1 장착 위치 및 상기 제2 장착 위치의 볼 조인트 인터페이스들 각각을 로킹하거나 인에이블링함으로써 추가적인 증착 동안 발생하는,
    방법.
  18. 제17 항에 있어서,
    (g) 상기 증착이 완료될 때까지 (a) 내지 (f)를 반복하는 단계를 더 포함하는,
    방법.
  19. 제17 항에 있어서,
    각각의 동적으로 조정하는 단계는, 증착 동안 상기 기판 지지부 또는 상기 기판을 레벨링, 틸팅, 스위블링, 또는 워블링하는 단계를 포함하는,
    방법.
  20. 제17 항에 있어서,
    상기 제1 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계 및 상기 제2 장착 위치에서 상기 기판 지지부를 동적으로 조정하는 단계는 별개의 시간들에서 각각 발생하는,
    방법.
KR1020207013471A 2016-04-22 2017-03-22 화학 기상 증착 프로세스 동안의 동적 웨이퍼 레벨링/틸팅/스위블링 KR102164599B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/136,611 US10438860B2 (en) 2016-04-22 2016-04-22 Dynamic wafer leveling/tilting/swiveling steps for use during a chemical vapor deposition process
US15/136,611 2016-04-22
PCT/US2017/023636 WO2017184293A1 (en) 2016-04-22 2017-03-22 Dynamic wafer leveling/tilting/swiveling during a chemical vapor deposition process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020187033635A Division KR20180127536A (ko) 2016-04-22 2017-03-22 화학 기상 증착 프로세스 동안의 동적 웨이퍼 레벨링/틸팅/스위블링

Publications (2)

Publication Number Publication Date
KR20200053660A KR20200053660A (ko) 2020-05-18
KR102164599B1 true KR102164599B1 (ko) 2020-10-12

Family

ID=60088564

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207013471A KR102164599B1 (ko) 2016-04-22 2017-03-22 화학 기상 증착 프로세스 동안의 동적 웨이퍼 레벨링/틸팅/스위블링
KR1020187033635A KR20180127536A (ko) 2016-04-22 2017-03-22 화학 기상 증착 프로세스 동안의 동적 웨이퍼 레벨링/틸팅/스위블링

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020187033635A KR20180127536A (ko) 2016-04-22 2017-03-22 화학 기상 증착 프로세스 동안의 동적 웨이퍼 레벨링/틸팅/스위블링

Country Status (5)

Country Link
US (1) US10438860B2 (ko)
KR (2) KR102164599B1 (ko)
CN (1) CN109075025B (ko)
TW (1) TWI677042B (ko)
WO (1) WO2017184293A1 (ko)

Families Citing this family (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180073143A1 (en) * 2016-09-12 2018-03-15 Toshiba Memory Corporation Plasma processing apparatus and plasma processing method
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11078570B2 (en) * 2018-06-29 2021-08-03 Lam Research Corporation Azimuthal critical dimension non-uniformity for double patterning process
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) * 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11557473B2 (en) * 2019-04-19 2023-01-17 Applied Materials, Inc. System and method to control PVD deposition uniformity
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
TW202104628A (zh) 2019-04-19 2021-02-01 美商應用材料股份有限公司 用於控制pvd沉積均勻性的系統及方法
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR102263718B1 (ko) 2019-06-10 2021-06-11 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US20210017647A1 (en) * 2019-07-18 2021-01-21 Robert Bosch Gmbh Localized surface coating defect patching process
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
CN112410764A (zh) * 2019-08-23 2021-02-26 长鑫存储技术有限公司 气相沉积装置、调整方法、装置、系统、介质和电子设备
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN110842781A (zh) * 2019-11-26 2020-02-28 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 一种非接触式测量方法及装置
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11449026B2 (en) 2020-05-27 2022-09-20 Applied Materials, Inc. Variable loop control feature
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114075660B (zh) * 2020-08-14 2022-09-27 长鑫存储技术有限公司 喷淋头、化学气相沉积设备及其工作方法
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI807253B (zh) * 2021-01-29 2023-07-01 優材科技有限公司 半導體反應裝置與反應方法
CN114807902B (zh) * 2021-01-29 2024-02-27 优材科技有限公司 半导体反应装置与反应方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115874167B (zh) * 2023-02-22 2023-05-30 江苏邑文微电子科技有限公司 多工序pecvd设备的喷淋组件自动调平方法和装置
KR102582241B1 (ko) * 2023-03-08 2023-09-25 (주)네오스테크놀로지스 커브드 lm 오토 레벨링 제어장치

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050066556A1 (en) 2003-09-25 2005-03-31 Kobelco Construction Machinery Co., Ltd. Construction machine

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
JP3164956B2 (ja) * 1993-01-28 2001-05-14 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でアモルファスシリコン薄膜を堆積する方法
US6419802B1 (en) 2001-03-16 2002-07-16 David Alan Baldwin System and method for controlling deposition thickness by synchronously varying a sputtering rate of a target with respect to a position of a rotating substrate
US6563578B2 (en) 2001-04-02 2003-05-13 Advanced Micro Devices, Inc. In-situ thickness measurement for use in semiconductor processing
JP2004035971A (ja) * 2002-07-05 2004-02-05 Ulvac Japan Ltd 薄膜製造装置
US7413612B2 (en) 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US7354332B2 (en) * 2003-08-04 2008-04-08 Applied Materials, Inc. Technique for process-qualifying a semiconductor manufacturing tool using metrology data
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
US7736913B2 (en) 2006-04-04 2010-06-15 Solopower, Inc. Composition control for photovoltaic thin film manufacturing
US8048226B2 (en) * 2007-03-30 2011-11-01 Tokyo Electron Limited Method and system for improving deposition uniformity in a vapor deposition system
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20090120584A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US20110070370A1 (en) * 2008-05-28 2011-03-24 Aixtron Ag Thermal gradient enhanced chemical vapour deposition (tge-cvd)
US7851233B2 (en) 2009-03-26 2010-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. E-chuck for automated clamped force adjustment and calibration
SG10201401671SA (en) 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
US9869021B2 (en) * 2010-05-25 2018-01-16 Aventa Technologies, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US9941100B2 (en) 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US10533251B2 (en) * 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050066556A1 (en) 2003-09-25 2005-03-31 Kobelco Construction Machinery Co., Ltd. Construction machine

Also Published As

Publication number Publication date
US10438860B2 (en) 2019-10-08
CN109075025A (zh) 2018-12-21
KR20200053660A (ko) 2020-05-18
TWI677042B (zh) 2019-11-11
WO2017184293A1 (en) 2017-10-26
CN109075025B (zh) 2023-06-02
KR20180127536A (ko) 2018-11-28
US20170309528A1 (en) 2017-10-26
TW201740489A (zh) 2017-11-16

Similar Documents

Publication Publication Date Title
KR102164599B1 (ko) 화학 기상 증착 프로세스 동안의 동적 웨이퍼 레벨링/틸팅/스위블링
US11075127B2 (en) Suppressing interfacial reactions by varying the wafer temperature throughout deposition
TWI731078B (zh) 下游反應器中之邊緣蝕刻率控制用可調整側邊氣體充氣部
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
TWI761337B (zh) 基板處理系統
US10202691B2 (en) Showerhead curtain gas method and system for film profile modulation
US11257693B2 (en) Methods and systems to improve pedestal temperature control
TWI686506B (zh) 被帶走的蒸汽之測量系統及方法
TWI719990B (zh) 基於改善邊緣膜厚均勻性之目的之電漿限制與晶圓邊緣的分離
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
KR20180106931A (ko) 기판 프로세싱 시스템의 전구체 증기 공급 시스템에서 플로우 모니터링을 위한 시스템들 및 방법들
US20210398829A1 (en) Ceramic pedestal with multi-layer heater for enhanced thermal uniformity
US20210176831A1 (en) Gas distribution ceramic heater for deposition chamber
KR20050067490A (ko) 완전 배치형 원자층증착 장치

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant