TWI686506B - 被帶走的蒸汽之測量系統及方法 - Google Patents

被帶走的蒸汽之測量系統及方法 Download PDF

Info

Publication number
TWI686506B
TWI686506B TW104132915A TW104132915A TWI686506B TW I686506 B TWI686506 B TW I686506B TW 104132915 A TW104132915 A TW 104132915A TW 104132915 A TW104132915 A TW 104132915A TW I686506 B TWI686506 B TW I686506B
Authority
TW
Taiwan
Prior art keywords
momentum
precursor gas
outlet
inlet
processing chamber
Prior art date
Application number
TW104132915A
Other languages
English (en)
Other versions
TW201625812A (zh
Inventor
艾瑞克 H 蘭茲
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201625812A publication Critical patent/TW201625812A/zh
Application granted granted Critical
Publication of TWI686506B publication Critical patent/TWI686506B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • G05D7/0641Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means
    • G05D7/0658Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means the plurality of throttling means being arranged for the control of a single flow from a plurality of converging flows

Abstract

一種用於供應前驅物氣體至基板處理腔室的系統,包含一第一質流控制器,該第一質流控制器包含用以接收一載體氣體的一入口及一出口。一安瓿係配置以供應一前驅物氣體。一閥系統,流體連通該第一質流控制器及該安瓿,該閥系統係配置以供應該前驅物氣體及該載體氣體至一基於動量的流量限制構件。一壓力感測系統係配置以在該基於動量的流量限制構件之該入口感測一入口壓力及在該基於動量的流量限制構件之該出口感測一出口壓力。一控制器係配置以基於在該入口壓力與該出口壓力之間的差在該基於動量的流量限制構件之該出口決定該前驅物氣體的流率。

Description

被帶走的蒸汽之測量系統及方法
相關申請案的交互參照:本專利申請案主張於西元2014年10月7日申請之美國暫時專利申請案第62/060,718號的優先權。上述提及之申請案的全部揭示內容於此藉由參照納入本案揭示內容。
本揭示內容關於基板處理系統,且更具體而言,關於在基板處理系統中被帶走的蒸汽之測量系統及方法。
在此提供的背景介紹係為了一般地呈現本揭示內容之背景。目前列名發明者的工作成果,在此先前技術章節中所述之範圍,以及可能未在申請時以其他方式適格作為先前技術之說明的實施態樣,係未明示或暗示承認為對於本揭示內容之先前技術。
基板處理系統可用以在基板上執行膜的灰化、沉積及/或蝕刻。基板處理系統一般包含具有基板支座(諸如基座、靜電夾頭、板等)的處理腔室。基板(諸如半導體晶圓)可在基板支座上加以配置。在化學汽相沉積(CVD)製程中,包含一種以上前驅物的氣體混合物可被引入處理腔室以在基板上沉積膜。在一些基板處理系統中,電漿可用以活化化學反應。
為了得到高品質的膜,將具有一期望濃度的前驅物氣體遞送至處理腔室係重要的。該前驅物可為加以昇華的固體或汽化進入載體氣體的液體以提供前驅物氣體。在一些基板處理系統中,前驅物流量可使用質流感測器加以測量。然而,質流感測器通常具有高溫、低壓、及/或可被使用之低壓降的問題。測量氣體濃度的其他方式包含紅外線感測器(IR)、傅立葉轉換紅外線(FTIR)光譜儀、及離子質譜儀。然而,這些方法通常具有高成本或不產生描述前驅物氣體之濃度特徵的訊號。
一種用於供應前驅物氣體至基板處理腔室的系統,包含一第一質流控制器,該第一質流控制器包含接收一載體氣體的一入口及一出口。一安瓿係配置以供應一前驅物氣體。一基於動量的流量限制構件包含一入口及一出口。一閥系統,流體連通該第一質流控制器及該安瓿,該閥系統係配置以供應該前驅物氣體及該載體氣體至該基於動量的流量限制構件。一壓力感測系統係配置以在該基於動量的流量限制構件之該入口感測一入口壓力及在該基於動量的流量限制構件之該出口感測一出口壓力。一控制器係配置以基於在該入口壓力與該出口壓力之間的差在該基於動量的流量限制構件之該出口決定該前驅物氣體的流率。
在其他特徵中,該控制器係配置以基於在該前驅物氣體的流率及該壓力差之間之一預定的關係調整藉由該第一質流控制器供應之該載體氣體的流率,以在該基於動量的流量限制構件之該出口控制該前驅物氣體的流率。
在其他特徵中,一加熱器加熱該安瓿。該控制器選擇性地調整該加熱器以在該基於動量的流量限制構件之該出口控制該前驅物氣體的流率。該基於動量的流量限制構件包含一限制孔口。
在其他特徵中,該閥系統包含:一第一閥,選擇性地將該第一質流控制器的該出口連接至該安瓿的入口;一第二閥,選擇性地將該第一質流控制器的該出口連接至該基於動量的流量限制構件的該入口;及一第三閥,選擇性地將該安瓿的出口連接至該基於動量的流量限制構件的該入口。
在其他特徵中,該壓力感測系統包含一第一壓力感測器,連通該基於動量的流量限制構件之該入口。一第二壓力感測器,連通該基於動量的流量限制構件之該出口。
在其他特徵中,該壓力感測系統包含一壓力感測器;一第一閥,用於將該壓力感測器選擇性地連接至該基於動量的流量限制構件之該入口;及一第二閥,用於將該壓力感測器選擇性地連接至該基於動量的流量限制構件之該出口。
在其他特徵中,該載體氣體具有一第一密度及該前驅物氣體具有一第二密度。該第二密度係大於該第一密度的9倍。該載體氣體包含氬及該前驅物氣體係選自由五氯化鎢及六氯化鎢組成的一群組。
在其他特徵中,一第二質流控制器包含一入口及一出口,前者連通該第一質流控制器的該入口,後者連通該基於動量的流量限制構件之該出口。該控制器係配置以藉由控制該載體氣體至該第一質流控制器的流率調整該前驅物氣體至該基板處理腔室的流率,及改變由該第二質流控制器供應的該載 體氣體至該基板處理腔室之流率以響應該載體氣體至該第一質流控制器之流率的改變。
一種用於供應前驅物氣體至基板處理腔室的方法包含使用一第一質流控制器供應一載體氣體;使用一安瓿供應一前驅物氣體;供應該前驅物氣體及該載體氣體至包含一入口及一出口的一基於動量的流量限制構件;在該基於動量的流量限制構件之該入口感測一入口壓力及在該基於動量的流量限制構件之該出口感測一出口壓力;及基於在該入口壓力與該出口壓力之間的一壓力差及在該壓力差與該前驅物氣體的流率之間的一預定關係決定該前驅物氣體至該基板處理腔室的流率。
在其他特徵中,該方法包含基於該前驅物氣體之該決定的流率調整由該第一質流控制器供應之該載體氣體的流率以在該基於動量的流量限制構件之該出口控制該前驅物氣體的流率。
在其他特徵中,該方法包含基於該前驅物氣體之計算的流率調整該安瓿的一溫度,以在該基於動量的流量限制構件之該出口控制該前驅物氣體的流率。
在其他特徵中,該基於動量的流量限制構件包含一限制孔口。
在其他特徵中,供應該前驅物氣體及該載體氣體包含使用一第一閥選擇性地將該第一質流控制器的該出口連接至該安瓿;使用一第二閥選擇性地將該第一質流控制器的該出口連接至該基於動量的流量限制構件;及使用一第三閥選擇性地將該安瓿連接至該基於動量的流量限制構件。
在其他特徵中,感測該入口壓力及該出口壓力包含配置一第一壓力感測器,其連通該基於動量的流量限制構件之該入口;及配置一第二壓力感測器,其連通該基於動量的流量限制構件之該出口。
在其他特徵中,感測該入口壓力及該出口壓力包含選擇性地將一壓力感測器連接至該基於動量的流量限制構件之該入口及感測該入口壓力;及選擇性地將該壓力感測器連接至該基於動量的流量限制構件之該出口及感測該出口壓力。
在其他特徵中,該載體氣體具有一第一密度及該前驅物氣體具有一第二密度。該第二密度係大於該第一密度的9倍。該載體氣體包含氬及該前驅物氣體係選自由五氯化鎢及六氯化鎢組成的一群組。
在其他特徵中,該方法包含配置一第二質流控制器的一入口,連通該第一質流控制器的一入口,及配置該第二質流控制器的一出口,連通該基於動量的流量限制構件之一出口;藉由調整該第一質流控制器的流率改變該前驅物氣體至該基板處理腔室的流率;及改變由該第二質流控制器供應的該載體氣體至該基板處理腔室之流率以響應該第一質流控制器之流率的改變。
本揭示內容之進一步的可應用領域將從實施方式、發明申請專利範圍及圖式中變得明顯。詳細說明及具體例子係意圖為僅供說明的目的,而非意欲限制本揭示內容的範圍。
10:基板處理系統
12:處理腔室
14:氣體分配裝置
16:基板支座
18:基板
20:氣體遞送系統
30:歧管
40:控制器
41:感測器
42:基座加熱器
46:電漿產生器
50:閥
52:泵
60:RF電源
64:匹配和分配網路
122:氣體源
124:閥
126:質流控制器
150:前驅物遞送系統
152:載體氣體源
154:質流控制器(MFC)
157:閥系統
158:閥
160:安瓿
162:閥
164:基於動量的流量限制構件
166:閥
169:壓力感測系統
170:壓力感測器
174:壓力感測器
180:加熱器
186:閥
188:閥
190:閥
192:閥
212:MFC
214:MFC
本揭示內容從實施方式及隨附圖式可更完全了解,其中:圖1根據本揭示內容係基板處理系統之例子的功能方塊圖。
圖2根據本揭示內容係氣體遞送系統一部份之例子的功能方塊圖。
圖3A-3C根據本揭示內容係前驅物氣體遞送系統之例子的功能方塊圖。
圖4係在壓力降與前驅物流量之間的關係之示例圖。
圖5根據本揭示內容係前驅物氣體遞送系統之例子的功能方塊圖。
圖6係流程圖,說明根據本揭示內容用於測量被帶走之蒸汽的流量之方法。
在圖示中,參考數字可被再次使用以識別相似及/或相同的元件。
本揭示內容描述用於在基板處理系統中被帶走的蒸汽之測量系統及方法。該等被帶走的蒸汽之測量系統及方法使用質流控制器以控制載體氣體的流量。載體氣體流經含前驅物材料的安瓿且接著通過一個基於動量的流量限制構件。在一些例子中,該基於動量的流量限制構件包含一限制孔口。該前驅物可為使用低壓降及高溫之加以昇華的固體或汽化進入載體氣體的液體。該等系統及方法測量橫跨基於動量的流量限制構件之壓力降。該壓力降係用以決定添加至載體氣體之前驅物氣體的量。
現參照圖1,基板處理系統10的一個例子係加以顯示。雖然電漿加強(PE)化學汽相沉積(CVD)工具的一個例子為了討論的目的而加以顯示,但本揭示內容適用於需要前驅物氣體之遞送的其他製程,諸如CVD、原子層沉 積(ALD)、PEALD等。基板處理系統可在使用或不使用電漿的情況下加以操作。基板處理系統10包含處理腔室12。氣體可使用氣體分配裝置14供應至處理腔室12。在一些例子中,氣體分配裝置14可包含噴淋頭,該噴淋頭包含面對基板或其他裝置之複數的孔洞。基板18(諸如半導體晶圓)於處理期間可配置在基板支座16上。基板支座16可包含基座、靜電夾頭、機械夾頭或其他類型的基板支座。
氣體遞送系統20可供應一種以上氣體至歧管30,該歧管供應氣體混合物至處理腔室12。或者,氣體可直接供應至處理腔室12。控制器40可用以監測諸如溫度、壓力等的製程參數(使用感測器41)及控制製程時序。控制器40可用以控制製程裝置,諸如氣體遞送系統20、基座加熱器42、及/或電漿產生器46。控制器40亦可用以使用閥50及泵52將處理腔室12抽空。控制器40亦可用以控制圖3A-3C、5、及6顯示的前驅物氣體遞送系統。
電漿產生器46在處理腔室內產生電漿。電漿產生器46可為電感式或電容式的電漿產生器。在一些例子中,電漿產生器46可包含RF電源60及匹配和分配網路64。雖然電漿產生器46係顯示為連接到氣體分配裝置14而基座係接地或浮接,但電漿產生器46可連接至基板支座16而氣體分配裝置14可為接地或浮接。雖然電漿係顯示在處理腔室內加以產生,但電漿可遠程地加以產生。雖然RF電漿係加以顯示,但微波電漿可加以使用。
現參照圖2,氣體遞送系統20可使用一個以上的閥124及一個以上的質流控制器126自一個以上氣體源122供應氣體。或者,其他流量控制裝置可用以可控制地供應前驅物氣體、反應氣體、惰性氣體、沖洗氣體、及其組合至歧管30,該歧管30供應氣體混合物至處理腔室12。
現參照圖3A,氣體遞送系統20可進一步包含前驅物遞送系統150以經由歧管30及/或直接地遞送一種以上前驅物至處理腔室12。前驅物遞送系統150包含載體氣體源152,該載體氣體源152供應載體氣體至質流控制器(MFC)154。
閥系統157供應載體氣體或供應載體氣體和前驅物氣體。在一些例子中,閥系統157包含第一閥158,其選擇性地將MFC154的出口連接至安瓿160的入口。液態或固態前驅物係位於安瓿160內。閥162將安瓿160的出口選擇性地連接至基於動量的流量限制構件164之入口。閥166將質流控制器154選擇性地連接至基於動量的流量限制構件164之入口。
壓力感測系統169測量在基於動量的流量限制構件164之入口及出口的壓力。在一些例子中,壓力感測系統169包含第一壓力感測器170及第二壓力感測器174,前者感測在基於動量的流量限制構件164之入口的壓力,後者感測在基於動量的流量限制構件164之出口的壓力。加熱器180可設置以加熱安瓿160內的前驅物。
現參照圖3B及3C,測量壓力之其他方式的例子係加以顯示。例如在圖3B中,壓力感測器170可藉由閥186及188選擇性地連接至基於動量的流量限制構件164之入口或出口(或兩者皆非)。此方式減少所需元件的數目。在圖3C中,閥190及192可選擇性地連接、切斷、或沖洗至(該等)壓力感測器的管路。類似的方法可在圖3B的系統中加以使用。
現參照圖4,顯示在60托的處理腔室操作壓力及使用0.1英寸之孔口的條件下所預測之在壓力感測器之間的壓力差的圖係加以顯示。該圖表明在 壓力降與前驅物流量之間的關係。壓力降隨著前驅物流量中相對小的變化而加以改變。
在一些例子中,被帶走的蒸汽之測量系統及方法使用兩個壓力感測器及一個基於動量的流量限制構件以允許高溫使用。在一些例子中,使用具有比前驅物氣體低得多之質量數值的載體氣體允許相對於前驅物之高解析度的低壓降。控制器40基於壓力感測器170及174的輸出及諸如顯示於圖4中之儲存的關係決定壓力降。
在一些例子中,安瓿內的操作壓力係從約10托至100托,雖然可使用其他壓力。在一些例子中,處理腔室壓力係在5托和60托之間,雖然可使用其他壓力。孔口尺寸一般取決於將需要多少前驅物及載體氣體及在安瓿及處理腔室中使用的壓力。在一些例子中,基於動量的流動限制構件包含在0.050”至0.020”之間的限制孔口,雖然可使用其他尺寸。
在一些例子中,WCl5或WCl6係用作前驅物氣體及安瓿的溫度將在135℃和190℃之間加以變化,雖然可使用其他前驅物氣體及安瓿溫度。在一些例子中,安瓿的溫度係加以改變以補償安瓿之變化的輸出,該安瓿之變化的輸出係由於殘留在安瓿中之材料的改變。在一些例子中,安瓿的溫度在充滿及部分未填滿之間係改變約10℃(這相當於在新填充之安瓿的輸出中100%的改變)以維持流量。
基於動量的流量限制構件可加以選擇尺寸使得對於載體氣體而言壓力降係低的,但足夠小以增進前驅物氣體的流動。例如:藉由使用分子氫作為載體氣體,具有5sccm之六氯化鎢(WCl6)的1000sccm的分子氫將具有兩倍於1000sccm之單獨的分子氫的壓力降,雖然可使用其他的溫度差。
在一例子中,在載體氣體中昇華之WCl6的量係在200℃下沒有實質的壓力降的情況下加以決定。因為WCl6具有396原子質量單位(amu),所以少量的WCl6將增加載體氣體的密度,使得在基於動量的流量限制構件之壓力降顯著足夠地增加以計算WCl6的量。使用氬作為載體氣體,與WCl6在質量上有約10倍的差異。然而,在使用分子氫作為載體氣體及WCl6作為前驅物的情況下,在質量上有200倍的差異。當使用五氯化鎢(WCl5)時,其具有360而非396的amu,當使用氬作為載體氣體時有約9比1的密度差異。然而,此配置在使用較高的安瓿壓力下仍可運作。
當分子氫係用作載體氣體時,一相當小之基於動量的流量限制構件可加以使用。當添加WCl6混合物時,一相對高的壓力降由於分子氫氣體的低壓降而發生。對於大部分的其他感測技術通常是問題的高溫操作,對於基於動量的流量限制構件係非問題。
層流壓力降(laminar pressure drop)(Pdrop_l)隨著黏性v及流率μ而改變。換句話說,Pdrop_l
Figure 104132915-A0305-02-0012-11
μv
Figure 104132915-A0305-02-0012-12
vM0.5(因為μ
Figure 104132915-A0305-02-0012-13
M0.5),其中M是質量。動量壓力降(Pdrop_m)隨著流率平方v2及質量M而改變。換句話說,Pdrop_m
Figure 104132915-A0305-02-0012-14
Mv2
在一例子中,忽略分子大小及薩瑟蘭(Sutherland)常數的影響,在1000sccm的分子氫下,加入100sccm的WCl6將用於層流流量(laminar flow)的壓力降改變成((1000 * 2+100 * 396)/1100/2)0.5*(1100/1000),其相當於比單獨的分子氫高4.7倍的壓力降。對於基於動量的壓力降(諸如限制孔口),壓力降相當於(1000*2+100*396)/1100/2*(1100/1000)2,其係單獨的分子氫之壓力降的22.9倍。
在1000sccm的Ar下,忽略分子大小及薩瑟蘭常數的影響,加入100sccm的WCl6將層流流量改變成((1000*40+100*396)/1100/40)0.5*(1100/1000),其相當於比單獨的Ar高1.47倍的壓力降。對於基於動量的壓力降(諸如限制孔口),壓力降相當於(1000 * 40+100 * 396)/1100/40*(1100/1000)2,其相當於比單獨的Ar高2.19倍的壓力降。
因此,使用WCl6作為前驅物、基於動量的壓力降(諸如限制孔口)、及分子氫作為載體氣體,壓力降隨流量變化有相對高的改變。基於動量的流量限制構件之尺寸可選擇以提供足夠的準確度。
使用比處理氣體較低質量數的載體氣體增進當使用小的限制件時的壓力解析度。使用載體氣體校準改善在被測量的實際壓力數值上之壓力測量、彌補壓力計偏移、及增進流量測量的準確度。
使用基於動量的流量限制構件(諸如限制孔口)增進在載體氣體與具有前驅物氣體的載體氣體之間的壓力降差。此處描述的該等系統及方法係能夠在壓力感測器(有或沒有在圖3A-3C中顯示的沖洗或閥配置)的額定溫度下加以操作。成本係比其他系統節省,這是由於壓力感測設備之較低的成本。
此處描述的該等系統及方法可為監測前驅物氣體輸出的被動式系統或改變載體氣體流量以補償前驅物遞送變化的主動式系統。為了改變前驅物的流率,載體氣體流量可藉由MFC154加以改變及/或藉由使用加熱器180改變安瓿160的溫度而加以改變。增加安瓿160的溫度增加前驅物昇華或蒸發的速率。
或者在顯示於圖5的另一個例子中,通過安瓿160的載體氣體流量係使用MFC 212加以改變。第二MFC 214提供載體氣體的補償流量,因此載體氣 體之流量的總量係相同的。感測器170及174測量來自安瓿160的流量。其他載體氣體係在測量之後加入。
在一些例子中,載體氣體係加以選擇以提供關於昇華或蒸發的之前驅物氣體之大的密度差。在一些例子中,在載體氣體與前驅物氣體之間的密度有大於9倍或更大的差異。在其他例子中,在載體氣體與前驅物之間的密度有大於25、50、100、或200倍、或更大的差異。
例如:由於WCl6係相對緻密的前驅物,氬可用作載體氣體同時仍提供密度上的足夠差異。密度中較大的差異可使用藉由使用分子氫作為載體氣體以WCl6前驅物加以達成。然而,對於較輕的前驅物,氦或氫可用以提供密度上的足夠差異。
現參照圖6,用於控制前驅物的流量至一製程的方法310係加以顯示。在312,前驅物氣體係針對製程加以選擇。在314,載體氣體係加以選擇以比前驅物氣體具有顯著較低的原子質量單位。在318,基於動量的流量限制構件之尺寸係加以選擇以針對載體氣體提供低壓降,但對前驅物的測量準確度則係足夠的。在320,壓力計係使用載體氣體流量加以校準。在322,控制器於操作期間監測橫跨基於動量的流量限制構件之壓力降以決定前驅物的實際流率。在326,實際流率係與期望流率相比較。若實際流率不等於期望流率(諸如在預定的範圍或窗口之內),則流率係在330使用任何合適的技術加以調整,諸如如此處所述調整安瓿的熱或調整載體氣體流量。控制返回自322。若在326的實際流率係等於期望流率,則控制在334決定製程是否完成。若否,則控制返回至322。否則控制結束。
以上所述在本質上僅為說明且係決非意欲限制本揭示內容、其應用、或使用。本揭示內容的廣泛教示可以多種方式執行。因此,雖然此揭示內容包含特殊的例子,但本揭示內容的真實範圍應不被如此限制,因為其他的變化將在研讀圖示、說明書及以下申請專利範圍後變為顯而易見。當在此使用時,片語「A、B、及C的其中至少一者」應理解為表示使用非排他邏輯「或」之邏輯(A或B或C),且不應理解為表示「A的其中至少一者、B的其中至少一者、及C的其中至少一者」。應理解方法中的一或多個步驟可以不同的順序(或同時)執行而不改變本揭示內容的原理。
在一些實施方式中,控制器為系統的一部分,其可為上述例子的一部分。此等系統可包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制該一個以上系統之各種不同的元件或子部分。依據系統的處理需求及/或類型,控制器可加以編程以控制此處揭示的任何製程,包含:處理氣體的遞送、溫度設定(例如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他轉移工具及/或與特定系統連接或介接的裝載鎖定部之晶圓轉移。
廣義地說,控制器可定義為電子設備,其具有各種不同的積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數 位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或多個微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義對於半導體晶圓或系統執行特殊製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒製造期間完成一或多個處理步驟。
在一些實施方式中,控制器可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或其組合。例如:控制器可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度,檢查過往製造操作的歷史,檢查來自複數個製造操作的趨勢或性能度量,以改變目前處理的參數,以設定目前操作之後的處理步驟,或啟動新的製程。在一些例子中,遠程電腦(例如:伺服器)可經由網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些例子中,控制器接收數據形式的指令,該數據明確指定於一或多個操作期間將被執行之各個處理步驟的參數。應理解參數可專門用於將執行之製程的類型與配置控制器以介接或控制之工具的類型。因此,如上所述,控制器可為分散式的,諸如藉由包含一或多個分散的控制器,其由網路連在一起且朝共同的目的(諸如此處描述的製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一或多個積體電路,連通位於遠端(諸如在平台級或作為遠程電腦的一部分)的一或多個積體電路,其結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中之其他的半導體處理系統。
如上所述,依據將由工具執行的一個以上製程步驟,控制器可與下述通訊:一或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端。
40‧‧‧控制器
150‧‧‧前驅物遞送系統
152‧‧‧載體氣體源
154‧‧‧質流控制器(MFC)
157‧‧‧閥系統
158‧‧‧閥
160‧‧‧安瓿
162‧‧‧閥
164‧‧‧基於動量的流量限制構件
166‧‧‧閥
169‧‧‧壓力感測系統
170‧‧‧壓力感測器
174‧‧‧壓力感測器
180‧‧‧加熱器

Claims (20)

  1. 一種用於供應前驅物氣體至基板處理腔室的系統,包含:一第一質流控制器,包含一入口及一出口,該入口係用以接收一載體氣體;一安瓿,配置以供應一前驅物氣體;一基於動量的流量限制構件,包含一入口及一出口;一閥系統,與該第一質流控制器及該安瓿流體連通,該閥系統配置以供應該前驅物氣體及該載體氣體至該基於動量的流量限制構件;一壓力感測系統,配置以感測在該基於動量的流量限制構件之該入口的入口壓力、及在該基於動量的流量限制構件之該出口的出口壓力;以及一控制器,配置以於該基於動量的流量限制構件接收該前驅物氣體及該載體氣體兩者時,基於在該入口壓力與該出口壓力之間的壓力差在該基於動量的流量限制構件之該出口決定該前驅物氣體的流率。
  2. 如申請專利範圍第1項之用於供應前驅物氣體至基板處理腔室的系統,其中,該控制器係配置以基於在該前驅物氣體的流率及該壓力差之間之一預定的關係調整藉由該第一質流控制器供應之該載體氣體的流率,以在該基於動量的流量限制構件之該出口控制該前驅物氣體的流率。
  3. 如申請專利範圍第1項之用於供應前驅物氣體至基板處理腔室的系統,進一步包含:一加熱器,用以加熱該安瓿, 其中,該控制器選擇性地調整該加熱器以在該基於動量的流量限制構件之該出口控制該前驅物氣體的流率。
  4. 如申請專利範圍第1項之用於供應前驅物氣體至基板處理腔室的系統,其中,該基於動量的流量限制構件包含一限制孔口。
  5. 如申請專利範圍第1項之用於供應前驅物氣體至基板處理腔室的系統,其中,該閥系統包含:一第一閥,選擇性地將該第一質流控制器的該出口連接至該安瓿的入口;一第二閥,選擇性地將該第一質流控制器的該出口連接至該基於動量的流量限制構件的該入口;以及一第三閥,選擇性地將該安瓿的出口連接至該基於動量的流量限制構件的該入口。
  6. 如申請專利範圍第1項之用於供應前驅物氣體至基板處理腔室的系統,其中,該壓力感測系統包含:一第一壓力感測器,連通該基於動量的流量限制構件之該入口;以及一第二壓力感測器,連通該基於動量的流量限制構件之該出口。
  7. 如申請專利範圍第1項之用於供應前驅物氣體至基板處理腔室的系統,其中,該壓力感測系統包含:一壓力感測器;一第一閥,用於將該壓力感測器選擇性地連接至該基於動量的流量限制構件之該入口;以及 一第二閥,用於將該壓力感測器選擇性地連接至該基於動量的流量限制構件之該出口。
  8. 如申請專利範圍第1項之用於供應前驅物氣體至基板處理腔室的系統,其中:該載體氣體具有一第一密度;該前驅物氣體具有一第二密度;以及該第二密度係大於該第一密度的9倍。
  9. 如申請專利範圍第1項之用於供應前驅物氣體至基板處理腔室的系統,其中,該載體氣體包含氬,且該前驅物氣體係選自由五氯化鎢及六氯化鎢組成的一群組。
  10. 如申請專利範圍第1項之用於供應前驅物氣體至基板處理腔室的系統,進一步包含:一第二質流控制器,包含:一入口,連通該第一質流控制器的該入口;及一出口,連通該基於動量的流量限制構件之該出口;其中該控制器係配置以藉由控制該載體氣體至該第一質流控制器的流率調整該前驅物氣體至該基板處理腔室的流率,及改變由該第二質流控制器供應的該載體氣體至該基板處理腔室之流率以響應該載體氣體至該第一質流控制器之流率的改變。
  11. 一種用於供應前驅物氣體至基板處理腔室的方法,包含:使用一第一質流控制器供應一載體氣體;使用一安瓿供應該前驅物氣體; 供應該前驅物氣體及該載體氣體至包含一入口及一出口的一基於動量的流量限制構件;在該基於動量的流量限制構件之該入口感測一入口壓力及在該基於動量的流量限制構件之該出口感測一出口壓力;以及基於在該入口壓力與該出口壓力之間的一壓力差及在該壓力差與該前驅物氣體的流率之間的一預定關係,於該基於動量的流量限制構件接收該前驅物氣體及該載體氣體兩者時,決定該前驅物氣體至該基板處理腔室的流率。
  12. 如申請專利範圍第11項之用於供應前驅物氣體至基板處理腔室的方法,進一步包含基於該前驅物氣體之決定的流率,調整由該第一質流控制器供應之該載體氣體的流率,以在該基於動量的流量限制構件之該出口控制該前驅物氣體的流率。
  13. 如申請專利範圍第11項之用於供應前驅物氣體至基板處理腔室的方法,進一步包含基於該前驅物氣體之經決定的流率調整該安瓿的一溫度,以在該基於動量的流量限制構件之該出口控制該前驅物氣體的流率。
  14. 如申請專利範圍第11項之用於供應前驅物氣體至基板處理腔室的方法,其中,該基於動量的流量限制構件包含一限制孔口。
  15. 如申請專利範圍第11項之用於供應前驅物氣體至基板處理腔室的方法,其中,供應該前驅物氣體及該載體氣體的步驟包含:使用一第一閥選擇性地將該第一質流控制器的該出口連接至該安瓿; 使用一第二閥選擇性地將該第一質流控制器的該出口連接至該基於動量的流量限制構件;以及使用一第三閥選擇性地將該安瓿連接至該基於動量的流量限制構件。
  16. 如申請專利範圍第11項之用於供應前驅物氣體至基板處理腔室的方法,其中,感測該入口壓力及該出口壓力的步驟包含:配置一第一壓力感測器,其連通該基於動量的流量限制構件之該入口;以及配置一第二壓力感測器,其連通該基於動量的流量限制構件之該出口。
  17. 如申請專利範圍第11項之用於供應前驅物氣體至基板處理腔室的方法,其中,感測該入口壓力及該出口壓力的步驟包含:選擇性地將一壓力感測器連接至該基於動量的流量限制構件之該入口及感測該入口壓力;以及選擇性地將該壓力感測器連接至該基於動量的流量限制構件之該出口及感測該出口壓力。
  18. 如申請專利範圍第11項之用於供應前驅物氣體至基板處理腔室的方法,其中,該載體氣體具有一第一密度,該前驅物氣體具有一第二密度,及該第二密度係大於該第一密度的9倍。
  19. 如申請專利範圍第11項之用於供應前驅物氣體至基板處理腔室的方法,其中,該載體氣體包含氬,且該前驅物氣體係選自由五氯化鎢及六氯化鎢組成的一群組。
  20. 如申請專利範圍第11項之用於供應前驅物氣體至基板處理腔室的方法,進一步包含:配置一第二質流控制器的一入口,其連通該第一質流控制器的一入口;及配置該第二質流控制器的一出口,其連通該基於動量的流量限制構件之一出口;藉由調整該第一質流控制器的流率改變該前驅物氣體至該基板處理腔室的流率;以及改變由該第二質流控制器供應的該載體氣體至該基板處理腔室之流率以響應該第一質流控制器之流率的改變。
TW104132915A 2014-10-07 2015-10-07 被帶走的蒸汽之測量系統及方法 TWI686506B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462060718P 2014-10-07 2014-10-07
US62/060,718 2014-10-07
US14/872,239 US9951423B2 (en) 2014-10-07 2015-10-01 Systems and methods for measuring entrained vapor
US14/872,239 2015-10-01

Publications (2)

Publication Number Publication Date
TW201625812A TW201625812A (zh) 2016-07-16
TWI686506B true TWI686506B (zh) 2020-03-01

Family

ID=55632398

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104132915A TWI686506B (zh) 2014-10-07 2015-10-07 被帶走的蒸汽之測量系統及方法

Country Status (4)

Country Link
US (1) US9951423B2 (zh)
KR (2) KR102528434B1 (zh)
CN (1) CN105483655B (zh)
TW (1) TWI686506B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10087523B2 (en) 2016-05-20 2018-10-02 Lam Research Corporation Vapor delivery method and apparatus for solid and liquid precursors
WO2018025713A1 (ja) * 2016-08-05 2018-02-08 株式会社堀場エステック ガス制御システム及び該ガス制御システムを備えた成膜装置
US20180046206A1 (en) * 2016-08-13 2018-02-15 Applied Materials, Inc. Method and apparatus for controlling gas flow to a process chamber
SE540630C2 (en) * 2016-12-30 2018-10-09 3Eflow Ab A method and apparatus for flow measurement in a fluid distribution system having a number of fluid tap units
US11255017B2 (en) * 2017-03-16 2022-02-22 Lam Research Corporation Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US10351953B2 (en) * 2017-03-16 2019-07-16 Lam Research Corporation Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US10947621B2 (en) 2017-10-23 2021-03-16 Applied Materials, Inc. Low vapor pressure chemical delivery
CN110767568B (zh) * 2018-07-26 2022-05-27 北京北方华创微电子装备有限公司 压力调节组件、下电极装置、工艺腔室和半导体处理设备
US10760944B2 (en) * 2018-08-07 2020-09-01 Lam Research Corporation Hybrid flow metrology for improved chamber matching
JP7281285B2 (ja) * 2019-01-28 2023-05-25 株式会社堀場エステック 濃度制御装置、及び、ゼロ点調整方法、濃度制御装置用プログラム
US20210404058A1 (en) * 2020-06-24 2021-12-30 Applied Materials, Inc. Apparatus and methods to reduce particles in a film deposition chamber
KR20230108318A (ko) * 2020-11-19 2023-07-18 램 리써치 코포레이션 다운스트림 (downstream) 압력 센싱을 사용한 승화 (sublimation) 제어
US11808746B2 (en) 2021-07-01 2023-11-07 Applied Materials, Inc. Concentration sensor for precursor delivery system
US20230124304A1 (en) * 2021-10-14 2023-04-20 Applied Materials, Inc. Controlled delivery of low-vapor-pressure precursor into a chamber

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4911101A (en) * 1988-07-20 1990-03-27 General Electric Company Metal organic molecular beam epitaxy (MOMBE) apparatus
TW201312311A (zh) * 2011-05-10 2013-03-16 Fujikin Kk 附帶流量監測器之壓力式流量控制裝置

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8268078B2 (en) * 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
JP5703114B2 (ja) * 2011-04-28 2015-04-15 株式会社フジキン 原料の気化供給装置
US8927066B2 (en) 2011-04-29 2015-01-06 Applied Materials, Inc. Method and apparatus for gas delivery
JP6496510B2 (ja) * 2014-10-02 2019-04-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4911101A (en) * 1988-07-20 1990-03-27 General Electric Company Metal organic molecular beam epitaxy (MOMBE) apparatus
TW201312311A (zh) * 2011-05-10 2013-03-16 Fujikin Kk 附帶流量監測器之壓力式流量控制裝置

Also Published As

Publication number Publication date
US9951423B2 (en) 2018-04-24
CN105483655B (zh) 2018-06-12
US20160097127A1 (en) 2016-04-07
CN105483655A (zh) 2016-04-13
KR20230062519A (ko) 2023-05-09
TW201625812A (zh) 2016-07-16
KR102528434B1 (ko) 2023-05-02
KR20160041802A (ko) 2016-04-18

Similar Documents

Publication Publication Date Title
TWI686506B (zh) 被帶走的蒸汽之測量系統及方法
US11075127B2 (en) Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10096506B2 (en) Reducing temperature transition in a substrate support
KR102460602B1 (ko) Rf 밸런싱을 사용하는 멀티스테이션 플라즈마 반응기
TW201812958A (zh) 基於射頻功率之基板支撐件前饋溫度控制系統及方法
US11286560B2 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
CN108630581B (zh) 衬底处理系统的前体蒸气供应系统中流监测的系统和方法
TWI750303B (zh) 使用晶圓之前饋臨界尺寸數據以預測其他臨界尺寸的虛擬量測系統及方法
CN112262464A (zh) 包括具有经冷却的面板的喷头的衬底处理室
US10760944B2 (en) Hybrid flow metrology for improved chamber matching
TW202015148A (zh) 改善雙重圖案化製程的方位角臨界尺寸不均勻性
US20220336191A1 (en) Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
US20230399741A1 (en) Sublimation control using downstream pressure sensing
US11255017B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system