TW201812958A - 基於射頻功率之基板支撐件前饋溫度控制系統及方法 - Google Patents

基於射頻功率之基板支撐件前饋溫度控制系統及方法 Download PDF

Info

Publication number
TW201812958A
TW201812958A TW106121329A TW106121329A TW201812958A TW 201812958 A TW201812958 A TW 201812958A TW 106121329 A TW106121329 A TW 106121329A TW 106121329 A TW106121329 A TW 106121329A TW 201812958 A TW201812958 A TW 201812958A
Authority
TW
Taiwan
Prior art keywords
temperature
plasma
bias
controller
signal
Prior art date
Application number
TW106121329A
Other languages
English (en)
Other versions
TWI744344B (zh
Inventor
張濤
田思源
艾瑞克 A 派博
豪爾赫 喬西 扎尼諾維奇
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201812958A publication Critical patent/TW201812958A/zh
Application granted granted Critical
Publication of TWI744344B publication Critical patent/TWI744344B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67383Closed carriers characterised by substrate supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一種溫度控制器,該溫度控制器包含介面、補償控制器、加法器、及第二控制器。一介面接收一偏壓功率信號、及一電漿信號。該偏壓功率信號指示一RF產生器的一偏壓RF功率位準。該電漿信號指示另一RF產生器的一電漿RF功率位準。另一介面接收一溫度信號,該溫度信號指示一基板支撐件的一溫度。該補償控制器基於一偏壓前饋轉換函數及該偏壓RF功率位準而產生一補償值,並基於一電漿前饋轉換函數及該電漿RF功率位準而產生另一補償值。一加法器基於一設定點及該溫度而產生一誤差信號。第二控制器基於該誤差信號而產生一控制信號。另一加法器基於該等補償值、及該控制信號而控制一作動器來調整該溫度。

Description

基於射頻功率之基板支撐件前饋溫度控制系統及方法
本揭露內容係關於基板處理系統,且更具體而言係關於控制基板處理系統中之靜電卡盤的溫度之系統及方法。
這裡所提供之先前技術描述係為了大體上呈現本發明之背景。在此先前技術章節中敘述的成果之範圍內之本案列名之發明人的成果、以及在申請期間不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。
基板處理系統可用以執行基板(例如,半導體晶圓)之蝕刻、沉積、及/或其他處理。可於基板上執行之範例性處理包含(但不限於)電漿增強化學氣相沉積(PECVD)處理、化學增強電漿氣相沉積(CEPVD )處理、離子植入處理、及/或其它蝕刻、沉積、及清潔處理。可將基板配置於基板處理系統之處理腔室中的一基板支撐件(例如底座、靜電卡盤(ESC)等)上。例如,於PECVD處理中的蝕刻期間 ,將包含一或更多前驅物的氣體混合物導入處理腔室中,並激發電漿來蝕刻基板。
在基板之處理期間,基板處理系統的元件及基板之溫度可能變化。這些溫度變化可對產生的基板造成不想要的影響(例如,非均勻的臨界尺寸)。因此,基板處理系統可實施用以控制基板及基板處理系統之元件的溫度之系統及方法。
一種溫度控制器,用於一基板處理系統中的一基板支撐件。該溫度控制器包含一第一介面、一第二介面、一補償控制器、一第一加法器、一第二控制器、及一第二加法器。該第一介面係用以接收一偏壓功率信號、及一電漿信號。其中該偏壓功率信號指示用於該基板支撐件的一第一射頻(RF)產生器的一偏壓RF功率位準。該電漿信號指示一第二RF產生器的一電漿RF功率位準。該第二介面係用以接收一溫度信號。該溫度信號指示該基板支撐件的一溫度。該補償控制器係用以(i) 基於一偏壓前饋轉換函數及該偏壓RF功率位準而產生一第一補償值,及(ii )基於一電漿前饋轉換函數及該電漿RF功率位準而產生一第二補償值。該第一加法器係用以基於一設定點溫度及該基板支撐件的該溫度而產生一誤差信號。該第二控制器係用以基於該誤差信號而產生一控制信號。該第二加法器係用以基於該第一補償值、該第二補償值、及該控制信號而控制一作動器來調整該基板支撐件的該溫度。
在其它特徵中,提供一種操作溫度控制器的方法,該溫度控制器係用於一基板處理系統中的一基板支撐件。該方法包含:在該溫度控制器的一第一介面接收一偏壓功率信號、及一電漿信號,其中該偏壓功率信號指示用於該基板支撐件的一第一RF產生器的一偏壓RF功率位準,且其中該電漿信號指示一第二RF產生器的一電漿RF功率位準;及在該溫度控制器的一第二介面接收一溫度信號,其中該溫度信號指示該基板支撐件的一溫度。該方法更包含:(i) 基於一偏壓前饋轉換函數及該偏壓RF功率位準而產生一第一補償值,及(ii )基於一電漿前饋轉換函數及該電漿RF功率位準而產生一第二補償值;基於一設定點溫度及該基板支撐件的該溫度而產生一誤差信號;基於該誤差信號而產生一控制信號;及基於該第一補償值、該第二補償值、及該控制信號而控制一作動器來調整該基板支撐件的該溫度。
本揭露內容之進一步的可應用領域將從實施方式、發明申請專利範圍及圖式中變得明顯。詳細說明及具體範例係意圖為僅供說明的目的,而非意欲限制本揭示內容的範圍。
隨著晶圓的特徵尺寸持續減小,蝕刻性能變得對基板溫度變化 越來越敏感。為了改良蝕刻性能,吾人期望一致的批次間(R2R,run-to-run)及腔室間(C2C,chamber-to-chamber)晶圓溫度。然而,電漿蝕刻系統中存在許多可影響基板支撐件及基板之溫度的擾動。可導致基板支撐件之溫度波動的一些擾動包含了在RF功率、氣體壓力、處理氣體混合物、處理氣體流量、冷卻劑流量等上的改變。
RF功率變化可導致在整個基板支撐件上的溫度差,該基板支撐件可具有一或更多溫度控制區域。溫度控制器判定一前饋補償值以控制基板支撐件的溫度及補償RF功率擾動。當前之處理步驟的前饋補償值係基於先前處理步驟期間之RF功率的負載比及其他反饋參數而產生。該前饋補償值於該處理步驟期間為不變的。
當執行混合模式脈衝(其包含不同RF頻率之間的切換)時,由於前饋補償值對於該配方步驟的大部分而言不準確,基板支撐件及基板的溫度無法達到穩態。因此,可發生若干攝氏度等級的基板及基板支撐件之溫度波動。
另外,在RF電壓控制模式期間且執行前饋控制的同時,RF正向功率可於單一配方步驟期間變化。在判定前饋補償值上並未考量到單一配方步驟期間內在RF電壓上的變化,這導致基板及基板支撐件之溫度上的波動。傳統前饋控制的另一問題為在判定前饋補償值上並未考量到RF功率於不同RF功率位準之間轉換的時間延遲。由於在RF功率位準之間的轉換上之延遲,前饋補償值對於當前的RF功率位準而言可能不準確,因此可發生1攝氏度等級之額外的不想要溫度波動。
以下所述之系統及方法提供了動態前饋控制以補償在RF功率、氣體壓力、氣體流率、腔室壓力、冷卻劑壓力,冷卻劑流率、及/或冷卻劑溫度上之變化所導致的在基板支撐件溫度上之改變。感測器偵測與影響基板支撐件溫度之擾動對應的參數。前饋補償值係基於該等參數而產生。
前饋控制算法基於所偵測的參數而調整至基板支撐件之加熱元件的電流,且亦可調整流動至基板支撐件的冷卻劑之溫度、壓力、及/或流率。快速的反應時間使吾人得以於處理步驟及子步驟期間進行溫度控制補償及更新,從而使基板支撐件的複數區域得以達到穩態溫度,而這提高了R2R及C2C溫度的一致性。
本文揭露之範例可應用於變壓耦合電漿(TCP)系統、電容耦合電漿(CCP)系統、電子迴旋共振(ECR)電漿系統、感應耦合電漿(ICP)系統、及/或其他系統及電漿來源。圖1-2分別顯示了TCP系統及CCP系統之範例。
現在參照圖1,顯示了基板處理系統10之範例。雖然前面的描述係關於感應耦合電漿( ICP)系統,但亦可使用其它類型的ICP 系統或其他電漿處理系統。基板處理系統10包含RF產生系統11a及11b。在一些範例中,RF產生系統11a包含RF來源12(例如,變壓耦合電漿RF產生器),該RF來源12連接至變壓耦合電容調諧( TCCT)電路13,該TCCT電路13將電流輸出至感應線圈結構16。
TCCT 電路13一般包含匹配網路14、及功率分配器15。匹配網路14可藉由傳輸線連接至RF來源12。匹配網路14將RF來源12之阻抗與電路的其餘部分(其中包含功率分配器15及感應線圈結構16)相匹配。在共同受讓於Long等人的美國專利公開案第2013/0135058號中顯示並敘述了TCCT電路13之範例,其內容被完整納入本文中做為參照。在一些範例中,感應線圈結構16可包含單一感應線圈、一對感應線圈、或內感應線圈對及外部感應線圈對。功率分配器15可用以控制提供應至感應線圈結構16之線圈的感應電流之相對的量。雖然顯示了平坦線圈,但亦可使用其它類型的線圈。
氣體充氣部20可配置在感應線圈結構16與介電窗24之間。介電窗24係沿著處理腔室28的一側而配置。處理腔室28更包含支撐基板34的基板支撐件32。基板支撐件32可包含靜電卡盤、機械卡盤、或其它類型的卡盤。電漿40係於處理腔室28的內部產生。電漿40可用以沉積膜或蝕刻基板34。
RF產生系統11b可包含一或更多偏壓RF來源50與51、及偏壓匹配電路52。RF來源50提供一偏壓RF電壓以於操作期間將基板支撐件32偏壓。偏壓匹配電路52將RF來源50與51的阻抗與電極組件相匹配。RF產生系統11a及11b可統稱為RF產生系統11,且係由系統控制器54加以控制。
氣體輸送系統56可用以供應氣體混合物至與介電窗24相鄰之處理腔室28。氣體輸送系統56可包含處理氣體來源57、計量系統58(例如,閥及質量流量控制器)、及用以混合處理氣體的歧管59。
氣體輸送系統60可用以經由閥61而將一氣體62輸送至氣體充氣部20。該氣體可包含用以冷卻感應線圈結構16及介電窗24的冷卻氣體。加熱器64可用以將基板支撐件32加熱至一預定溫度。排氣系統65包含閥66及泵浦67以藉由吹掃或排空而從處理腔室28將反應物移除。
系統控制器54可用以控制蝕刻處理。控制器54監測處理參數(例如,溫度、壓力等)並對氣體混合物之輸送、電漿之觸發、維持、及熄滅、反應物之移除、冷卻氣體之供應等進行控制。溫度控制器55控制基板支撐件32的溫度 。
系統控制器54可接收來自感測器74的輸入信號,並基於輸入信號而控制RF產生器12、50、及51、偏壓匹配電路52、及加熱器/冷卻器64之操作及/或電漿處理系統10的元件之操作。感測器74可位於RF產生系統11a及11b中、腔室28中、基板支撐件32中、或電漿處理系統10中的其他地方。舉例而言,感測器偵測所供應之RF電壓、溫度、氣體及/或冷卻劑流率、及氣體及/或冷卻劑壓力。
選擇模組97可連接至溫度控制器55且包含一或更多之多範圍電壓感測器74、及多工器(MUX)板98。選擇模組可包含於處理腔室28中,或可在處理腔室28的外面(如圖所示)。多工器板98選擇從一或更多電壓感測器接收的一或更多信號以發送至溫度控制器55。溫度控制器55基於從多工器板98接收的電壓信號而控制基板支撐件32的溫度。溫度控制器55可從系統控制器54、及/或直接從RF產生系統11a及11b(如虛線信號線99所示)接收指示了RF產生系統11a及11b所產生之電壓的RF電壓信號。
用於控制自動化技術的乙太網路(EtherCAT,Ethernet for Control Automation Technology)媒介或(或纜線)95可存在於RF產生系統11a及11b、系統控制器54、溫度控制器55、及選擇模組97的EtherCAT介面之間。類比媒介(或纜線),例如信號線99,可存在於RF產生系統11a及11b與溫度控制器55之間。為了快速/實時的回應(例如,1毫秒(ms )的回應時間或更短),吾人提供經由EtherCAT介面、及/或類比介面的快速參數傳輸。該等EtherCAT介面可每一者具有1 千赫茲(kHz)的數據傳輸速率。
現在參照圖2,顯示了用以使用RF電漿執行蝕刻的範例性基板處理系統100。基板處理系統100包含處理腔室102 。處理腔室102包容處理腔室102的其它元件並容納RF電漿。處理腔室102包含上電極104、及基板支撐件106(例如,ESC)。在操作期間,基板108係配置於基板支撐件106上。
僅以舉例而言,上電極104可包含導入並分配處理氣體的噴淋頭109。噴淋頭109可包含柄部部分111,其包含連接至處理腔室102之頂部表面的一端。噴淋頭109大體上為圓柱形,且在與處理腔室102之頂部表面間隔開的位置處自柄部部分111的一相反端徑向向外延伸。噴淋頭之面向基板的表面包含複數的孔,處理或吹掃氣體係流動通過該等孔。或者,上電極104可包含導電板,且氣體可以另一方式導入。
基板支撐件106包含了做為下電極的導電底板110。底板110支撐一加熱板112,該加熱板112可至少部分由陶瓷材料所形成。一熱阻層114可配置在加熱板112與底板110之間。底板110可包含用以讓冷卻劑流動通過底板110的一或更多冷卻劑通道116。
RF產生系統120產生並輸出一RF電壓至至上電極104及下電極(例如,基板支撐件106的底板110)其中一者。上電極104與底板110其中另一者可為DC接地、AC接地、或處於浮動電位。僅以舉例而言,RF產生系統120可包含產生RF電壓的一或更多RF產生器122(例如,電容耦合電漿RF功率產生器、偏壓RF功率產生器、及/或其它RF功率產生器),該等RF功率係由一或更多匹配及分配網路124饋送至上電極104及/或底板110。作為範例,顯示了電漿RF產生器123、偏壓RF產生器125、電漿RF匹配網路127、及偏壓RF匹配網路129。
氣體輸送系統130包含一或更多氣體來源132-1、132-2、…、及132-N(統稱為氣體來源132),其中N為大於零的整數。該氣體來源132供應一或更多前驅物及其混合物。該氣體來源132亦供應吹掃氣體 。亦可使用汽化之前驅物。氣體來源132藉由閥134-1、134-2、…,及134-N(統稱為閥134)、與質量流量控制器136-1、136-2、…,及136-N(統稱為質量流量控制器136)而連接至岐管140。岐管140之輸出係供給至處理腔室102。僅以舉例而言,岐管140之輸出係供給至噴淋頭109。
溫度控制器142可連接至配置在加熱板112中的熱控制元件 (TCE,有時稱為作動器) 144。雖然顯示為與系統控制器160分開,但溫度控制器142可配置成系統控制器160的一部分。如一範例, TCE 144可包含(但不限於)與加熱板112的每一大型區域分別對應的大型TCE(或TCE的第一陣列 )、及/或與加熱板112的每一微型區域分別對應的微型TCE(或TCE的第二陣列)。大型TCE可用以對加熱板112的大型區域之溫度、及/或其他場(field)進行粗調。微型TCE可用以對加熱板的微型區域之溫度、及/或其他場進行微調。大型區域可包含了微型區域。一或更多微型區域可與二或更多的大型區域重疊。大型區域及微型區域可具有預定的形狀、匹配的形狀、不同的形狀、或任何任意形狀。
加熱板112包含複數溫度控制區域(例如,四個區域,其中每一區域包含四個溫度感測器) 。該等溫度控制區域其中每一者具有對應的大型及/或微型TCE 。控制該等大型TCE以在每一對應溫度控制區域中大致達成所選定的溫度。可對該等微型TCE進行單獨地控制,以精細地調整對應的溫度控制區域中之溫度、及/或補償每一溫度控制區域中的溫度非均勻性。例如,針對大型TCE的每一設定點溫度,可以知道整個加熱板112之頂部表面上的溫度分佈回應並將其繪製成地圖(換言之,儲存於記憶體中)。相似地,可以知道整個加熱板112之表面上的每一微型TCE的溫度分佈回應並將其繪製成地圖。雖然本文中所揭露之系統及方法係參照多區加熱板及/或 ESC進行描述,但本揭露內容之原理可應用於基板處理系統的其他溫度控制元件。
溫度控制器142可控制TCE 144之操作,從而控制TCE 144的溫度來控制基板支撐件106及基板支撐件106上之基板(例如,基板108)的溫度。溫度控制器142可與冷卻劑組件146通信以控制流動通過通道116的冷卻劑流動(壓力及流率)。例如,冷卻劑組件146可包含冷卻劑泵浦及貯存器。溫度控制器142對冷卻劑組件146進行操作以選擇性地使冷卻劑流動通過通道116以冷卻基板支撐件106及加熱板112。溫度控制器142可控製冷卻劑流動的速度及冷卻劑的溫度。
溫度控制器142基於偵測到的參數而控制供應至TCE 144的電流、及供應至通道116的冷卻劑之壓力及流率(如下面進一步描述)。選擇模組148可將電流傳輸至TCE 144,及/或從基板支撐件106中的溫度感測器、及/或偵測通過通道116的冷卻劑之壓力及流率的感測器接收信號。選擇模組148可位於處理腔室102內,或可在處理腔室102的外面。EtherCAT媒介(或纜線)150、151、及152可存在於 RF產生系統120、溫度控制器142、系統控制器160、及選擇模組148的EtherCAT介面之間。類比媒介(或纜線)154可存在於RF產生系統120與溫度控制器142之間。
閥156及泵浦158可用以從處理腔室102抽空反應物。系統控制器160可用以控制基板處理系統100的構件(包含控制所供應的RF功率位準、所供應氣體的壓力及流率、RF匹配等)。系統控制器160控制閥156及泵浦158的狀態。機械臂170可用以將基板傳遞至基板支撐件106上、及將基板從基板支撐件106移除。例如,機械臂170可在基板支撐件106與負載鎖室172之間傳送基板。機械臂170可由系統控制器160加以控制。系統控制器160可控制負載鎖室172的操作。
現在參照圖1-2及圖3,顯示了溫度控制系統200,該溫度控制系統200包含RF產生系統201(例如,RF產生系統11及120其中一者)、溫度控制器203(例如,溫度控制器55及142其中一者)、選擇模組205(選擇模組97及148其中一者)、及系統控制器207(系統控制器54及160其中一者),該系統控制器207可經由媒介209(例如媒介95、99、150、151、152、152、及154)而連接。RF產生系統 201包含系統控制器(SC,system controller)介面202、溫度控制器(TC,temperature controller)介面204、及RF感測器及/或電路206。介面202及204經由媒介150及154將所偵測到的RF功率位準(其係基於來自例如RF感測器及/或電路206的信號而偵測及/或產生)提供至溫度控制器203及系統控制器207。介面202可為EtherCAT介面。介面204可為類比介面。RF感測器及/或電路206可包含RF感測器、RF產生器、RF匹配電路等。
溫度控制器203包含SC介面210、RF介面212、ESC介面214、及補償控制器216。SC介面210可為EtherCAT介面。RF介面 212可為類比介面。ESC介面214可為EtherCAT介面。補償控制器216產生補償值以調整圖1-2的基板支撐件32及106之溫度控制。 補償值係基於經由介面210、212、及214所接收的參數而產生。
選擇模組205包含TC介面220、及多工器(MUX)板222。多工器板 222接收來自溫度感測器224、及壓力與流率感測器226的感測器信號。溫度感測器224可位於圖1-2的基板支撐件32及106中、及/或處理腔室 28及102其中一者中。壓力與流率感測器226可偵測通過圖1-2之基板支撐件32及106的通道116之冷卻劑的壓力及/或流率、腔室壓力、及/或處理腔室102內的其它壓力及/或流率。多工器板222可從處理腔室102內的其它感測器接收信號。多工器板 222對感測器信號進行處理,並經由介面214、220而選擇性地將該等信號提供至溫度控制器203。信號處理可包含放大器、濾波器、及類比至數位轉換器用以對感測器信號進行放大、濾波、及類比至數位轉換。多工器板222可包含一或更多之多工器,用以選擇該等感測器信號其中一或更多者以提供至TC介面220。
系統控制器207包含RF介面230、及TC介面232。RF介面230連接至SC介面202。TC介面232連接至SC介面210。系統控制器 207可經由介面230及232將從SC介面202接收的信號傳遞至補償控制器216。系統控制器 207可從其他感測器240接收信號。其他感測器240可包含了圖1-2的處理系統10及100的各種感測器,例如用以偵測供應至處理腔室102之氣體的壓力及流率的壓力及/或流率感測器。
EtherCAT介面202、210、214、220、230、及232可於將所接收之信號及/或處理之信號傳輸通過媒介209之前將其轉換成乙太網路封包。EtherCAT介面202、210、214、220、230、及232、與類比介面204及212提供了至溫度控制器203及補償控制器216的快速數據傳輸。類比介面204及212在(i)RF產生系統120與(ii)溫度控制器 203及補償控制器216之間傳輸類比信號 。
圖4顯示了前饋系統250及溫度控制模型252,其包含針對TCP系統(例如,圖1的電漿處理系統)之基於RF前饋功率的溫度控制。可為圖2之基於CCP的系統及/或其他電漿處理系統而修改前饋系統250。前饋系統250包含補償控制器216、偏壓RF產生器253、TCP RF產生器254、及作動器(例如,具有複數TCE的加熱器) 256。雖然前饋系統250係顯示為用以控製至具有複數TCE的加熱器之電流,但前饋系統250可針對以下裝置而設置:一或更多TCE;圖1-2之冷卻組件64及146的閥及/或泵浦;及/或其他作動器。可修改前饋系統250的值以調整閥位置、流體壓力及/或流率、泵浦速度等。
補償控制器216包含第一加法器260、比例積分微分(PID,proportional integral derivative)控制器262、TCP前饋(FF,feed-forward)控制器264、偏壓FF控制器266、及第二加法器268。TCP FF控制器264實行用於前饋補償的TCP FF轉換函數。偏壓 FF控制器266實行用於前饋補償的偏壓FF轉換函數。在一實施例中,不包含控制器264及266,且補償控制器216實行TCP FF轉換函數、及偏壓FF轉換函數。
溫度控制模型252包含偏壓RF產生器擾動模型270(或轉換函數)、TCP RF產生器擾動模型272(或轉換函數)、及第三加法器274。偏壓RF產生器擾動模型270代表了與偏壓RF產生器產生之RF功率相關聯的ESC溫度擾動。TCP RF產生器擾動模型272代表了與TCP產生器所產生之RF功率相關聯的ESC溫度擾動。若將前饋系統250應用於CCP系統,則可用CCP FF控制器取代TCP FF控制器264。相似地,可用CCP RF產生器擾動模型取代TCP RF產生器擾動模型272。
以下參照圖6的方法進一步描述圖4的前饋系統250之操作。
圖5顯示了前饋系統300及溫度控制模型302,其包含基於RF前饋功率及其他參數的溫度控制。雖然前饋系統300係顯示為可用於圖1之電漿處理系統的TCP類型系統,但可針對圖2之基於CCP的系統、及/或其他電漿處理系統而修改前饋系統300。由於考慮到影響圖1-2的基板支撐件32或106之溫度的額外參數,前饋系統300為較圖4的前饋系統250更高階、更複雜的系統。前饋系統300包含補償控制器304、偏壓RF產生器253、TCP RF產生器254、一或更多感測器306、及作動器256。雖然前饋系統 300係顯示為用以控制至具有複數TCE之加熱器的電流,但前饋系統300可針對以下裝置而設置:一或更多TCE;圖1-2之冷卻組件64及146的閥及/或泵浦 ;及/或其他作動器。可修改前饋系統300的值以控制閥位置、流體壓力及/或流率、泵浦速度等。
補償控制器304包含第一加法器260、PID控制器262、TCP前饋(FF)控制器264、偏壓FF控制器266、一或更多參數FF控制器1-N 310、及第二加法器312。TCP FF控制器264實行用於前饋補償的TCP FF轉換函數。偏壓 FF控制器266實行用於前饋補償的偏壓FF轉換函數。一或更多參數FF控制器1-N 310實行各別之FF轉換函數以相對於對應的一或更多參數進行前饋補償。一或更多參數可包含例如氣體壓力、氣體流率、冷卻劑壓力、冷卻劑流率、冷卻劑溫度、及/或影響基板支撐件之溫度的其它參數。在一實施例中,不包含控制器264、266、及310,且補償控制器216實行TCP FF轉換函數、偏壓FF轉換函數、及一或更多參數FF轉換函數。
溫度控制模型302包含偏壓RF產生器擾動模型270、TCP RF產生器擾動模型272、一或更多的參數擾動模型1-N 320(或轉換函數)、及第三加法器322。該一或更多的參數擾動模型代表了分別與對應的一或更多參數相關聯之基板支撐件溫度擾動 。
以下參照圖6的方法而進一步描述圖5的前饋系統300之操作。
針對圖1- 5之控制器的進一步定義之結構,請參照以下所提供之圖6及8的方法、及以下所提供之術語「控制器」的定義。本文中所揭露之系統可使用許多的方法進行操作。一範例性方法係繪示於圖6中。在圖6中,顯示了控制基板支撐件之溫度的方法。雖然以下任務主要係參照圖1-5之實施例而描述,但可輕易地修改該等任務以應用於本揭露內容的其他實行例。此外,雖然以下任務係針對單一設定點溫度而描述,但該等任務可針對複數設定點溫度而執行,其中每一設定點溫度係與基板支撐件的一面積及/或區域相關聯。另外,雖然該等任務主要係針對基於偏壓與TCP RF功率位準來偵測、傳輸、接收、及執行任務而描述,但該等任務可為了偵測、傳送、及接收其他對應RF產生器的其他RF功率位準而進行修改。該等任務可重複地執行。
方法可開始於400。在402,溫度控制器203及/或系統控制器207判定基板支撐件(例如,基板支撐件32及106其中一者)之區域的設定點溫度。
在404,第一加法器260對設定點溫度與實際溫度y進行比較。可預先偵測及/或估算實際溫度y,並將其從設定點溫度中減去。如下面所述,可於420偵測及/或估算實際溫度y。在設定點溫度與實際溫度y之間 的差異提供了一誤差,該誤差係表示為一誤差信號。在406, PID控制器262基於該誤差信號而產生一控制信號。
在408,溫度控制器經由EtherCAT介面202、210、230、及232、及/或類比介面204及212而從系統控制器及/或RF產生系統(RF產生系統11及120其中一者)接收RF功率位準。RF功率位準係提供至控制器264及266。
在410,控制器264及266對偏壓RF功率及TCP RF功率施加前饋轉換函數以產生各別的第一補償值。舉例而言,這可包含(i) 將方程式1的轉換函數與偏壓RF功率位準相乘以提供第一補償值,及(ii) 將方程式2的轉換函數與TCP RF功率位準相乘以提供第二補償值,其中FFbias(s)及FFtcp(s)為拉普拉斯轉換域(Laplace transform domain)中的前饋函數,kkbias及kktcp為偏壓RF功率、及TCP RF功率的增益且可由表達式3-4代表,T為時間常數,LLbias、Lbias、LLtcp、及Ltcp為偏壓及TCP時間延遲,kbias及ktcp為偏壓RF功率擾動轉換函數、及TCP RF功率擾動轉換函數的增益,k為作動器256的受控體增益(plant gain),且L為作動器的時間延遲常數。變數s可由方程式5代表,其中為實數且j為-1之平方根。偏壓及TCP時間延遲LLbias及LLtcp可由表達式3-4定義。時間延遲Lbias及Ltcp 為在RF功率上之變化發生時到在基板支撐件之溫度上的RF功率擾動(換言之,溫度變化)發生時的延遲。(1)(2)(3)(4)(5)
在一實施例中,為電漿處理之處理步驟的每一子步驟判定增益kkbias及kktcp。例如,可為每一子步驟(例如,電漿關閉的子步驟(或不產生電漿)、TCP RF功率開啟的子步驟、及TCP RF功率與偏壓RF功率開啟的子步驟)判定增益kkbias及kktcp。在一實施例中,為每一子步驟校準增益kkbias及kktcp (如以下參照圖8所述)。圖8的方法可在任務410之前及/或任務410期間執行。
在412,溫度控制器203經由MUX板222、及EtherCAT 介面214與220接收來自感測器(例如,感測器224、226、及240、或其他感測器)、系統控制器207、及/或選擇模組205的感測器信號。該等感測器信號係提供至控制器310。
在414,控制器310對與該等感測器信號相關聯之參數施加前饋轉換函數以產生各別的第二補償值。這可包含針對與該等感測器信號相關聯的每一參數而將方程式6之轉換函數的每一版本與一偵測值相乘以提供該等第二補償值其中相對應的一者,其中FFpar(s)為對應參數在拉普拉斯轉換域中的前饋函數,kkpar為對應參數的增益且係由表達式7來代表,T為時間常數,LLpar及Lpar為對應參數的參數延遲,且kpar為對應參數之參數擾動轉換函數的增益。該等參數時間延遲Lpar其中每一者係意指從參數調整時至基板支撐件之溫度因該調整而改變時的一時間延遲。LLpar可由表達式7定義。(6)(7) 在一實施例中,為每一子步驟校準增益kkpar (如以下參照圖8所述)。圖8的方法可在任務414之前、及/或任務414期間執行。
在416,第二加法器268從PID 控制器262所產生的控制信號減去在410及/或414所產生的補償值。減法的結果提供了一修改後控制信號,可將其提供至作動器256及/或用以控制作動器256。在418,基於該修改後控制信號而控制及/或操作作動器256。如一範例,若作動器256為具有一或更多TCE的加熱器, 則可修改供應至一或更多TCE的電流。如另一範例,若作動器256為用以控製至基板支撐件的一或更多通道之冷卻劑的壓力及/或流率的閥或泵浦,則可調整閥的位置及/或泵浦的速度。
在420,可偵測及/或估算基板支撐件的實際溫度。可基於從基板支撐件中的一或更多溫度感測器(例如,溫度感測器224)所接收的信號而偵測基板支撐件的實際溫度。可經由EtherCAT介面214及220將溫度信號提供至補償控制器216或304。若實際溫度係估算的,則可將與模型270、272、及/或320相關聯之轉換函數分別乘以偏壓RF功率、TCP RF功率、及/或感測器306之輸出並提供至第三加法器(加法器274及322其中一者)。方程式8提供了270之轉換函數的範例。方程式9提供了272之轉換函數的範例。方程式10提供了模型320之每一轉換函數的範例。將出自第二加法器(加法器268或加法器312)的修改後控制信號乘以作動器256的轉換函數。方程式11提供了作動器256之轉換函數的範例。方程式11的轉換函數為多區域溫度模型,其中 k為受控體增益,L為時間延遲,且T為時間常數。此乘法的結果亦係提供至第三加法器。第三加法器將接收到的信號相加以提供估算的溫度。(8)(9)(10)(11) 任務402可在任務420之後執行。
藉由使用EtherCAT 介面及/或類比介面,在與上述轉換函數相關聯的時間延遲之間的差異係加以最小化。例如,與偏壓前饋轉換函數相關聯的時間延遲、及與模型270相關聯的時間延遲係相匹配及/或相類似的。如另一範例,與TCP前饋轉換函數相關聯的時間延遲匹配、及與模型272相關聯的時間延遲係相匹配及/或相類似的。如再另一範例,與該等參數前饋轉換函數其中一者相關聯的時間延遲、及與該等模型320其中對應一者相關聯的時間延遲係相匹配及/或相類似的。在時間延遲上的最小差異使得在前饋輸入上的抖動最小化,並防止了在基板支撐件之溫度上的波動。這使得雜訊最小化並改善了在調整作動器256之控制信號上的時序準確度 。
上面所述之任務係意圖為說明性範例;該等任務可依據應用方式而依序、同步、同時、連續、在重疊的時間期間、或以不同的順序加以執行。此外,依據實行例及/或事件的順序,可不執行或省略任何任務。
圖7顯示了溫度對時間的曲線,該曲線繪示了圖3之溫度控制系統的溫度範圍變化之降低。圖7顯示了基準曲線450、及前饋補償曲線452。該基準曲線顯示了在沒有本文中揭露的前饋補償之情況下的溫度變化。曲線452顯示了本文中揭露的前饋補償所導致之降低的溫度變化。在所示範例中,溫度變化從2.5˚C 降低至1.3˚C,且批次間反覆精度從0.6˚C降低至0.3˚C。
相較於傳統方法,上面所述的方法使用偵測的RF產生器信號以改良補償準確度。RF產生器信號指示了RF功率位準,且係為了針對RF功率位準改變獲得在溫度控制上的快速回應時間而透過EtherCAT及/或類比信號來提供 。所揭露的方法對具有不同氣體、壓力、及溫度設定點之不同操作條件的各種擾動進行補償。該等擾動包含了在RF功率位準、氣體流率(例如,氦氣之流率)、氣體壓力、腔室壓力、晶圓壓力設定點、底板溫度等上之變化。模型準確度係透過配方及/或增益校準與學習來提供(如以下參照圖8-10進一步描述)。
圖8顯示了校準方法。可對每一處理批次執行校準方法,或可在每一處理批次之前執行校準方法,並可於隨後的處理批次期間使用所判定的增益值。若校準係於處理批次之前執行,則可對虛擬晶圓(dummy wafer)進行處理及/或可使用虛擬晶圓的配方。或者,增益值可於先前批次的子步驟期間加以判定,並用於後續批次的子步驟。雖然以下任務主要係參照圖1-5之實行例而描述,但可輕易地對該等任務進行修改以應用於本揭露內容之其他實行例。該等任務可重複地執行。雖然以下任務主要係針對TCP系統來描述,然而該等任務可應用於CCP系統及/或其他電漿處理系統。
該方法可於500開始。在502,可將偏壓及TCP增益值 kkbias及kktcp重置為0。若亦對其他參數的增益值(例如,kkpar)進行學習/計算,則亦可將這些增益值設置為0。
在504,補償控制器216或304、溫度控制器203、及/或系統控制器207將配方步驟展開(或分割)為複數子步驟。這可包含使在第一子步驟之後執行的該等子步驟其中一或更多者延遲。例如,蝕刻步驟可包含3個子步驟,第一子步驟為無電漿步驟,第二子步驟為TCP RF功率開啟步驟,且第三子步驟為TCP及偏壓功率開啟步驟。電漿存在於第二子步驟及第三子步驟期間。可使第二子步驟及第三子步驟延遲以學習增益值。
在506,執行子步驟的校準。在506A,透過PID 262獲得穩定化的溫度。
在506B,對溫度及RF功率位準進行測量並將其提供至補償控制器216或304(如上面所述)。這可包含學習一給定RF功率條件之穩定化的多區域負載比的值。可針對最後的一預定時間期間(例如,5秒)將RF功率位準、及/或多區域負載比的值加以平均。可將平均值保存在記憶體中。這可更包含了學習一給定RF功率條件的穩定化(或穩態)溫度。可針對最後的一預定時間期間(例如,5秒)將溫度加以平均。該一或更多平均溫度係保存在記憶體中。
如一範例,對於第一子步驟而言,可於電漿關閉、且TCP及偏壓RF功率關閉、且多區域溫度讀數穩定在溫度設定點的情況下學習穩態多區域負載比。為子步驟1將平均多區域負載比的值保存在記憶體中。對於第二子步驟而言,可在電漿開啟、TCP RF功率開啟、偏壓RF功率關閉、且多區域溫度讀數穩定在溫度設定點的情況下學習穩態多區域負載比。為子步驟2將平均多區域負載比的值保存在記憶體中。對於第三子步驟而言,可在電漿開啟、TCP RF功率開啟、偏壓RF功率開啟、且多區域溫度讀數穩定在溫度設定點的情況下學習穩態多區域負載比。為子步驟3將平均多區域負載比的值保存記憶體中。
在506C,補償控制器216或304計算於RF產生器之開啟或關閉、及/或在一或更多RF功率位準設定點上之變化的之前與之後在RF功率位準(或於506B所判定的平均RF功率位準)上的差異。
在506D,補償控制器216或3044計算於RF產生器之開啟或關閉、及/或在一或更多RF功率位準設定點上之變化的之前與之後在溫度(或於506B所判定的平均溫度)上的差異。
在其他參數設定點上之變化發生時,亦可執行任務506C及506D。例如,氣體或冷卻劑流率及/或壓力改變時(如上面所述)。
在506E,補償控制器216或304計算增益(例如,kkbias及kktcp,且可計算一或更多參數之增益,例如kkpar )。增益kkbias及kktcp可藉由使用例如方程式12-1 3來計算,而不是使用方程式3-4。對於方程式12而言,u0為TCP及偏壓RF功率關閉之情況下於子步驟1中之負載比(於最後的該預定時間期間進行平均),utcp為TCP RF功率開啟且偏壓RF功率關閉之情況下於子步驟2中之負載比(於該最後的預定時間期間進行平均),RFGtcp1為TCP RF功率狀態1的正向功率(於最後的該預定時間期間進行平均),DCpulse1為以脈衝模式操作時TCP RF脈衝的狀態1負載比,RFGtcp2為TCP RF功率狀態2的正向功率(於最後的該預定時間期間進行平均),DCpulse2為以脈衝模式操作時TCP RF脈衝的狀態2負載比,且fG,1為前饋TCP增益比例因子。(12)(13) 對於方程式13而言,utcp 為TCP RF功率開啟且偏壓RF功率關閉之情況下在子步驟2中之負載比(於最後的該預定時間期間進行平均),ubias 為TCP RF功率及偏壓RF功率開啟之情況下在子步驟3中之負載比(於最後的該預定時間期間進行平均),RFGbias1 為偏壓RF功率狀態1的正向功率(於最後的該預定時間期間進行平均),DCpulse1 為以脈衝模式操作時TCP RF脈衝的狀態1負載比,RFGbias2 為偏壓RF功率狀態2的正向功率(於最後的該預定時間期間進行平均),DCpulse2 為以脈衝模式操作時TCP RF脈衝的狀態2負載比,且fG,2 為前饋TCP增益比例因子。
在508,若正在執行另一子步驟、及/或若欲對相同的子步驟執行 另一校準重複,則補償控制器216或304執行506的另一次重複。若沒有在執行另一子步驟、及/或若不欲執行任務506的另一次重複,則方法可於510結束。
補償控制器216或304可對所執行處理的每一子步驟執行任務506,例如對穩定化步驟、蝕刻步驟、鈍化步驟、沉積步驟、灰化步驟、及/或其他處理步驟的每一子步驟。可針對不同的子步驟類型及/或子步驟部分(例如,於定時的子步驟期間、於子步驟起始點、於子步驟終點等)執行任務506。
若一子步驟不具有TCP及偏壓RF功率設定點(換言之,不運行電漿),則可執行上述的子步驟1,而不執行子步驟2-3。若一子步驟不具有偏壓RF功率設定點(換言之,電漿以TCP RF功率運行且不使用偏壓RF功率),則可執行上述的子步驟1及子步驟2,而不執行子步驟3。
圖9顯示了基於傳統校準技術的RF負載比及基板支撐溫度之曲線。該RF負載比為複數基板支撐件或ESC區域的負載比。如圖所示,在蝕刻步驟期間使用單一負載比。圖10為基於本文中所揭露之校準的RF負載比及基板支撐溫度之曲線。參照例如圖8的方法。該RF負載比為複數基板支撐件或ESC區域的負載比。針對蝕刻步驟顯示了複數的負載比,其中每一負載比係針對該等蝕刻子步驟其中一對應者(在此範例中,存在3個前饋子步驟)。如一範例,每一子步驟在長度上可大於20秒。
以上所述在本質上僅為說明且係決非意欲限制本揭示內容、其應用、或使用。本揭示內容的廣泛教示可以多種方式執行。因此,雖然此揭示內容包含特殊的例子,但本揭示內容的真實範圍應不被如此限制,因為其他的變化將在研讀圖示、說明書及以下申請專利範圍後變為顯而易見。吾人應理解方法中的一或複數步驟可以不同的順序(或同時)執行而不改變本揭示內容的原理。另外,儘管每個實施例中皆於以上敘述為具有特定的特徵,但相關於本揭示內容之任何實施例中所敘述的該等特徵之任何一或多者可在其他實施例之任一者的特徵中實施、及/或與之組合而實施,即使該組合並未明確說明亦然。換言之,上述實施例並非互相排除,且一或複數實施例之間的排列組合仍屬於本揭示內容的範圍內。
元件之間(例如,在模組、電路元件,半導體層等之間)的空間和功能上的關係係使用各種術語來表述,其中包括「連接」、「接合」、「耦接」、「相鄰」、「接近」、「在頂端」、「上方」、「下方」和「配置」。除非明確敘述為「直接」,否則當於上述揭示內容中描述第一和第二元件之間的關係時,該關係可為第一及二元件之間沒有其他中間元件存在的直接關係,但也可為第一及二元件之間(空間上或功能上)存在一或複數中間元件的間接關係。如本文中所使用,詞組「A、B和C中至少一者」應解讀為意指使用非排除性邏輯OR的邏輯(A OR B OR C),且不應解讀為「A中至少一者、B中至少一者、及C中至少一者」。
在一些實行例中,控制器為系統的一部分,其可為上述範例的一部分。此等系統可包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定處理元件(基板支撐件、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制該一個以上系統之各種的元件或子部分。依據系統的處理需求及/或類型,控制器可加以編程以控制本文中所揭露的任何製程,其中包含:處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、出入工具、及其他轉移工具、及/或與特定系統連接或介接的負載鎖之晶圓傳送。
廣義而言,控制器可定義為電子設備,其具有各種不同的積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用終點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或複數微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義了用以在半導體晶圓上、對基板、或系統執行特定製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或複數層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒之製造期間內完成一或複數處理步驟。
在一些實行例中,控制器可為電腦的一部分或連接至電腦,該電腦係與系統整合、連接至系統、以其他方式網路連至系統、或其組合。舉例而言,控制器可為在「雲端」或工廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數個製造操作的趨勢或性能度量、改變目前處理的參數、設定目前操作之後的處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠端電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠端電腦被傳遞至系統。在一些例子中,控制器接收數據形式的指令,該數據明確指定於一或複數操作期間將被執行之各個處理步驟的參數。吾人應理解參數可專門用於將執行之製程的類型與配置控制器以介接或控制之工具的類型。因此,如上面所述,控制器可為分散式的,例如藉由包含一或複數分散的控制器,其由網路連在一起且朝共同的目的(例如本文中所述之製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一或複數積體電路,連通位於遠端(例如在平台級或作為遠端電腦的一部分)的一或複數積體電路,其結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中之其他的半導體處理系統。
如上面所述,依據將由工具執行的一個以上處理步驟,控制器可與下述通訊:一或複數其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或裝載埠。
10‧‧‧基板處理系統
11a‧‧‧RF產生系統
11b‧‧‧RF產生系統
12‧‧‧RF來源
13‧‧‧變壓耦合電容調諧(TCCT)電路
14‧‧‧匹配網路
15‧‧‧感應線圈結構
20‧‧‧氣體充氣部
24‧‧‧介電窗
28‧‧‧處理腔室
32‧‧‧基板支撐件
34‧‧‧基板
40‧‧‧電漿
50‧‧‧偏壓RF來源
51‧‧‧偏壓RF來源
52‧‧‧偏壓匹配電路
54‧‧‧系統控制器
55‧‧‧溫度控制器
56‧‧‧氣體輸送系統
57‧‧‧處理氣體來源
58‧‧‧計量系統
59‧‧‧歧管
60‧‧‧氣體輸送系統
61‧‧‧閥
62‧‧‧氣體
64‧‧‧加熱器/冷卻器
65‧‧‧排氣系統
66‧‧‧閥
67‧‧‧泵浦
74‧‧‧感測器
95‧‧‧EtherCAT媒介(或纜線)
97‧‧‧選擇模組
98‧‧‧多工器(MUX)板
99‧‧‧信號線
100‧‧‧基板處理系統
102‧‧‧處理腔室
104‧‧‧上電極
106‧‧‧基板支撐件
108‧‧‧基板
109‧‧‧噴淋頭
110‧‧‧底板
111‧‧‧柄部部分
112‧‧‧加熱板
114‧‧‧熱阻層
116‧‧‧冷卻劑通道
120‧‧‧RF產生系統
122‧‧‧RF電壓產生器
123‧‧‧電漿RF產生器
124‧‧‧匹配與分配網路
125‧‧‧偏壓RF產生器
127‧‧‧電漿RF匹配網路
129‧‧‧偏壓RF匹配網路
130‧‧‧氣體輸送系統
132-1~132-N‧‧‧氣體來源
134-1~134-N‧‧‧閥
136-1~136-N‧‧‧質量流量控制器
140‧‧‧岐管
142‧‧‧溫度控制器
144‧‧‧熱控制元件(作動器)
146‧‧‧冷卻劑組件
148‧‧‧選擇模組
150‧‧‧EtherCAT媒介(或纜線)
151‧‧‧EtherCAT媒介(或纜線)
152‧‧‧EtherCAT媒介(或纜線)
154‧‧‧類比媒介(或纜線)
156‧‧‧閥
158‧‧‧泵浦
160‧‧‧系統控制器
170‧‧‧機械臂
172‧‧‧負載鎖室
200‧‧‧溫度控制系統
201‧‧‧RF產生系統
203‧‧‧溫度控制器
205‧‧‧選擇模組
207‧‧‧系統控制器
209‧‧‧媒介
202‧‧‧系統控制器(SC)介面
204‧‧‧溫度控制器(TC)介面
206‧‧‧RF感測器及/或電路
209‧‧‧媒介
210‧‧‧SC介面
212‧‧‧RF介面
214‧‧‧ESC介面
216‧‧‧補償控制器
220‧‧‧TC介面
222‧‧‧多工器(MUX)板
224‧‧‧溫度感測器
226‧‧‧壓力與流率感測器
230‧‧‧RF介面
232‧‧‧TC介面
240‧‧‧其他感測器
250‧‧‧前饋系統
252‧‧‧溫度控制模型
253‧‧‧偏壓RF產生器
254‧‧‧TCP RF產生器
256‧‧‧作動器
260‧‧‧第一加法器
262‧‧‧比例積分微分(PID)控制器
264‧‧‧TCP前饋(FF)控制器
266‧‧‧偏壓FF控制器
268‧‧‧第二加法器
270‧‧‧偏壓RF產生器擾動模型(或轉換函數)
272‧‧‧TCP RF產生器擾動模型(或轉換函數)
274‧‧‧第三加法器
300‧‧‧前饋系統
302‧‧‧溫度控制模型
304‧‧‧補償控制器
306‧‧‧感測器
310‧‧‧參數FF控制器1-N
312‧‧‧加法器
320‧‧‧參數擾動模型1-N
322‧‧‧加法器
400‧‧‧任務
402‧‧‧任務
404‧‧‧任務
406‧‧‧任務
408‧‧‧任務
410‧‧‧任務
412‧‧‧任務
414‧‧‧任務
416‧‧‧任務
418‧‧‧任務
420‧‧‧任務
450‧‧‧基準曲線
452‧‧‧前饋補償曲線
500‧‧‧任務
502‧‧‧任務
504‧‧‧任務
506‧‧‧任務
506A‧‧‧任務
506B‧‧‧任務
506C‧‧‧任務
506D‧‧‧任務
506E‧‧‧任務
508‧‧‧任務
510‧‧‧任務
本揭示內容從實施方式及隨附圖式可更完全了解,其中:
根據本揭露內容之實施例,圖1為包含溫度控制器的基板處理系統之範例的功能方塊圖;
根據本揭露內容之實施例,圖2為包含溫度控制器的另一基板處理系統之範例的功能方塊圖;
根據本揭露內容之實施例,圖3為溫度控制系統之範例的功能方塊圖;
根據本揭露內容之實施例,圖4為前饋系統及溫度控制模型之範例的功能方塊圖,該溫度控制模型包含基於RF前饋功率的溫度控制。
根據本揭露內容之實施例,圖5為前饋系統及溫度控制模型之範例的功能方塊圖,該溫度控制模型包含基於RF前饋功率及其他參數的溫度控制。
根據本揭露內容之實施例,圖6顯示了控制ESC之溫度的範例性方法。
根據本揭露內容之實施例,圖7為溫度對時間之範例性曲線,繪示了圖3的溫度控制系統之減少的溫度範圍變化。
根據本揭露內容之實施例,圖8繪示了範例性校準方法。
根據本揭露內容之實施例,圖9為基於傳統校準技術的RF負載比及ESC溫度之曲線。
圖10為基於本揭露內容之實施例所揭露之校準的RF負載比及ESC溫度之範例性曲線。
在圖式中,元件符號可被再次使用以辨別相似及/或相同的元件。

Claims (22)

  1. 一種溫度控制器,用於一基板處理系統中的一基板支撐件,該溫度控制器包含: 一第一介面,用以接收一偏壓功率信號、及一電漿信號,其中該偏壓功率信號指示用於該基板支撐件的一第一射頻(RF)產生器的一偏壓RF功率位準,且其中該電漿信號指示一第二RF產生器的一電漿RF功率位準; 一第二介面,用以接收一溫度信號,其中該溫度信號指示該基板支撐件的一溫度; 一補償控制器,用以(i) 基於一偏壓前饋轉換函數及該偏壓RF功率位準而產生一第一補償值,及(ii )基於一電漿前饋轉換函數及該電漿RF功率位準而產生一第二補償值; 一第一加法器,用以基於一設定點溫度及該基板支撐件的該溫度而產生一誤差信號; 一第二控制器,用以基於該誤差信號而產生一控制信號;及 一第二加法器,用以基於該第一補償值、該第二補償值、及該控制信號而控制一作動器來調整該基板支撐件的該溫度。
  2. 如申請專利範圍第1項之溫度控制器,其中該補償控制器包含: 一偏壓控制器,用以將該偏壓RF功率位準乘以該偏壓前饋轉換函數以提供該第一補償值;及 一電漿控制器,用以將該電漿RF功率位準乘以該電漿前饋轉換函數以提供該第二補償值。
  3. 如申請專利範圍第1項之溫度控制器, 其中: 該補償控制器係用以基於一參數前饋轉換函數及一參數而產生一第三補償信號;且 該第二加法器係用以基於該第三補償信號而控制該作動器來調整該基板支撐件的該溫度。
  4. 如申請專利範圍第3項之溫度控制器,其中該參數為供應至一處理腔室之一氣體的一壓力、供應至該處理腔室之一氣體的一流率、流動通過該基板支撐件之一冷卻劑的一壓力、或流動通過該基板支撐件之一冷卻劑的一流率。
  5. 如申請專利範圍第1項之溫度控制器,其中該第一介面或該第二介面其中至少一者為用於控制自動化技術的乙太網路(EtherCAT,Ethernet for control automation technology)介面。
  6. 如申請專利範圍第1項之溫度控制器,其中該第一介面為類比介面。
  7. 如申請專利範圍第1項的溫度控制器,其中該補償控制器係用以基於(i) 該作動器的一轉換函數、(ii) 一偏壓RF擾動轉換函數、及(iii) 一電漿RF擾動轉換函數而估算該基板支撐件的該溫度。
  8. 如申請專利範圍第7項之溫度控制器,更包含一第三加法器,該第三加法器係用以基於(i) 該第二加法器之輸出與該作動器的該轉換函數的一乘積、(ii) 該偏壓RF功率位準與該偏壓RF擾動轉換函數的一乘積、及(iii) 該電漿RF功率位準與該電漿RF擾動轉換函數的一乘積而輸出該基板支撐件的該溫度。
  9. 如申請專利範圍第1項之溫度控制器,其中該補償控制器係用以(i)為一電漿處理的一步驟的複數子步驟其中每一者校準一偏壓增益及一電漿增益,(ii) 基於該偏壓增益而產生該第一補償值,及(iii) 基於該電漿增益而產生該第二補償值。
  10. 如申請專利範圍第9項之溫度控制器,其中該步驟為蝕刻步驟、鈍化步驟、沉積步驟、或灰化步驟。
  11. 一種前饋溫度控制系統,包含: 如申請專利範圍第1項之溫度控制器;及 一系統控制器,用以(i) 經由一第三介面從一RF產生系統接收該偏壓功率信號及該電漿信號,及(ii) 經由一第四介面將該偏壓功率信號及該電漿信號發送至該溫度控制器。
  12. 如申請專利範圍第11項之前饋溫度控制系統,其中該第一介面、該第三介面、及該第四介面為用於控制自動化技術的乙太網路(EtherCAT,Ethernet for control automation technology)介面。
  13. 一種感應耦合電漿系統,包含: 如申請專利範圍第1項之溫度控制器; 該第一RF產生器; 該第二RF產生器; 該基板支撐件,用以接收基於該第一RF產生器之輸出而在該偏壓RF功率位準之RF功率;及 一感應耦合結構,用以接收基於該第二RF產生器之輸出而在該電漿RF功率位準之RF功率。
  14. 一種電容耦合電漿系統,包含: 如申請專利範圍第1項之溫度控制器; 該第一RF產生器; 該第二RF產生器; 該基板支撐件,用以接收基於該第一RF產生器之輸出而在該偏壓RF功率位準之RF功率;及 一電極,用以接收基於該第二RF產生器之輸出而在該電漿RF功率位準之RF功率,其中該電極包含一噴淋頭。
  15. 一種操作溫度控制器的方法,該溫度控制器係用於一基板處理系統中的一基板支撐件,該方法包含: 在該溫度控制器的一第一介面接收一偏壓功率信號、及一電漿信號,其中該偏壓功率信號指示用於該基板支撐件的一第一射頻(RF)產生器的一偏壓RF功率位準,且其中該電漿信號指示一第二RF產生器的一電漿RF功率位準; 在該溫度控制器的一第二介面接收一溫度信號,其中該溫度信號指示該基板支撐件的一溫度; (i) 基於一偏壓前饋轉換函數及該偏壓RF功率位準而產生一第一補償值,及(ii )基於一電漿前饋轉換函數及該電漿RF功率位準而產生一第二補償值; 基於一設定點溫度及該基板支撐件的該溫度而產生一誤差信號; 基於該誤差信號而產生一控制信號;及 基於該第一補償值、該第二補償值、及該控制信號而控制一作動器來調整該基板支撐件的該溫度。
  16. 如申請專利範圍第15項之操作溫度控制器的方法,更包含: 將該偏壓RF功率位準乘以該偏壓前饋轉換函數以提供該第一補償值;及 將該電漿RF功率位準乘以該電漿前饋轉換函數以提供該第二補償值。
  17. 如申請專利範圍第15項之操作溫度控制器的方法,更包含: 基於一參數前饋轉換函數及一參數而產生一第三補償信號;及 基於該第三補償信號而控制該作動器來調整該基板支撐件的該溫度。
  18. 如申請專利範圍第15項之操作溫度控制器的方法,其中該第一介面或該第二介面其中至少一者為用於控制自動化技術的乙太網路(EtherCAT,Ethernet for control automation technology)介面。
  19. 如申請專利範圍第15項之操作溫度控制器的方法,其中該第一介面為類比介面。
  20. 如申請專利範圍第15項之操作溫度控制器的方法,更包含基於下述而估算該基板支撐件的該溫度: (i) 該作動器的一轉換函數、與(ii) 從該控制信號減去該第一補償值及該第二補償值之一結果的一乘積; 該偏壓RF功率位準與一偏壓RF擾動轉換函數的一乘積;及 該電漿RF功率位準與一電漿RF擾動轉換函數的一乘積。
  21. 如申請專利範圍第15項之操作溫度控制器的方法,更包含: 為一電漿處理的一步驟的複數子步驟其中每一者校準一偏壓增益及一電漿增益; 基於該偏壓增益而產生該第一補償值;及 基於該電漿增益而產生該第二補償值。
  22. 如申請專利範圍第15項之操作溫度控制器的方法,更包含: 在一系統控制器經由一第三介面從一RF產生系統接收該偏壓功率信號及該電漿信號;及 從該系統控制器經由一第四介面將該偏壓功率信號及該電漿信號發送至該溫度控制器, 其中該第一介面、該第三介面、及該第四介面為用於控制自動化技術的乙太網路(EtherCAT,Ethernet for control automation technology)介面。
TW106121329A 2016-07-01 2017-06-27 基於射頻功率之基板支撐件前饋溫度控制系統及方法 TWI744344B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/200,405 2016-07-01
US15/200,405 US10522377B2 (en) 2016-07-01 2016-07-01 System and method for substrate support feed-forward temperature control based on RF power

Publications (2)

Publication Number Publication Date
TW201812958A true TW201812958A (zh) 2018-04-01
TWI744344B TWI744344B (zh) 2021-11-01

Family

ID=60807788

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106121329A TWI744344B (zh) 2016-07-01 2017-06-27 基於射頻功率之基板支撐件前饋溫度控制系統及方法

Country Status (4)

Country Link
US (1) US10522377B2 (zh)
KR (1) KR102382697B1 (zh)
CN (1) CN107564789B (zh)
TW (1) TWI744344B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
TWI819012B (zh) * 2018-06-29 2023-10-21 日商東京威力科創股份有限公司 電漿處理裝置、電漿狀態檢測方法及電漿狀態檢測程式

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
KR101681190B1 (ko) * 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10741429B2 (en) * 2018-06-21 2020-08-11 Lam Research Corporation Model-based control of substrate processing systems
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN114041201A (zh) * 2019-04-29 2022-02-11 朗姆研究公司 用于rf等离子体工具中的多级脉冲的系统和方法
KR20200126177A (ko) * 2019-04-29 2020-11-06 삼성전자주식회사 Rf 파워 모니터링 장치, 및 그 장치를 포함하는 pe 시스템
US11140633B2 (en) 2020-02-10 2021-10-05 Samsung Electronics Co., Ltd. Method and apparatus for loopback gain step calibration on RF chain with phase shifter
US11551951B2 (en) 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
US20220319896A1 (en) * 2021-04-02 2022-10-06 Applied Materials, Inc. Rotating biasable pedestal and electrostatic chuck in semiconductor process chamber
DE102022108634A1 (de) * 2022-04-08 2023-10-12 TRUMPF Hüttinger GmbH + Co. KG Plasmasystem und Verfahren zum Betrieb eines Plasmasystems

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US20060042757A1 (en) * 2004-08-27 2006-03-02 Seiichiro Kanno Wafer processing apparatus capable of controlling wafer temperature
US8916793B2 (en) * 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) * 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US20130276980A1 (en) * 2012-04-23 2013-10-24 Dmitry Lubomirsky Esc with cooling base
CN104681380B (zh) * 2013-11-29 2017-07-07 中微半导体设备(上海)有限公司 一种静电卡盘及其等离子体处理室
US10950421B2 (en) * 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US20160131587A1 (en) * 2014-10-07 2016-05-12 Verity Instruments, Inc. Method and Apparatus for Monitoring Pulsed Plasma Processes

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI819012B (zh) * 2018-06-29 2023-10-21 日商東京威力科創股份有限公司 電漿處理裝置、電漿狀態檢測方法及電漿狀態檢測程式
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
TWI747033B (zh) * 2018-09-14 2021-11-21 美商應用材料股份有限公司 具有嵌入式射頻屏蔽的半導體基板支撐件

Also Published As

Publication number Publication date
KR102382697B1 (ko) 2022-04-04
CN107564789A (zh) 2018-01-09
TWI744344B (zh) 2021-11-01
US20180005857A1 (en) 2018-01-04
US10522377B2 (en) 2019-12-31
CN107564789B (zh) 2021-08-20
KR20180003984A (ko) 2018-01-10

Similar Documents

Publication Publication Date Title
TWI744344B (zh) 基於射頻功率之基板支撐件前饋溫度控制系統及方法
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
US10096506B2 (en) Reducing temperature transition in a substrate support
TWI686506B (zh) 被帶走的蒸汽之測量系統及方法
US10971384B2 (en) Auto-calibrated process independent feedforward control for processing substrates
US11028482B2 (en) Use of voltage and current measurements to control dual zone ceramic pedestals
US20190332094A1 (en) Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
KR102533847B1 (ko) TCE들 (thermal control elements) 을 사용한 ESC 온도 추정을 위한 가상 계측 방법
US11908715B2 (en) Dynamic temperature control of substrate support in substrate processing system
CN114207770A (zh) 具有多个输出端口的射频功率产生器
US10347464B2 (en) Cycle-averaged frequency tuning for low power voltage mode operation
TW202405987A (zh) 用於邊緣環耗損補償的系統和方法
US20230399741A1 (en) Sublimation control using downstream pressure sensing
TW202036711A (zh) 用於將對基板體電阻率變動響應之沉積或蝕刻速率變化降低的射頻功率補償
WO2018112192A1 (en) System and method for calculating substrate support temperature