TW202036711A - 用於將對基板體電阻率變動響應之沉積或蝕刻速率變化降低的射頻功率補償 - Google Patents

用於將對基板體電阻率變動響應之沉積或蝕刻速率變化降低的射頻功率補償 Download PDF

Info

Publication number
TW202036711A
TW202036711A TW108128869A TW108128869A TW202036711A TW 202036711 A TW202036711 A TW 202036711A TW 108128869 A TW108128869 A TW 108128869A TW 108128869 A TW108128869 A TW 108128869A TW 202036711 A TW202036711 A TW 202036711A
Authority
TW
Taiwan
Prior art keywords
substrate
frequency
power
parameter
processing system
Prior art date
Application number
TW108128869A
Other languages
English (en)
Other versions
TWI816856B (zh
Inventor
偉義 羅
洪連基
偉武 鐘
依曼徐 邱克希
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202036711A publication Critical patent/TW202036711A/zh
Application granted granted Critical
Publication of TWI816856B publication Critical patent/TWI816856B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)
  • Multi-Process Working Machines And Systems (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

一種基板處理系統,包含:一電漿產生器,其設置以將(射頻)RF功率供應至配置在一處理腔室中之一電極。一感測器係設置以感測供應至該電極之該RF功率的一參數。一控制器係設置以藉由以下操作對由於配置在一基板支撐件上之一基板的體電阻率的變動而產生之一電漿處理的一速率的變動加以補償:使該感測器在該基板之電漿處理之前、以及在該基板之該電漿處理開始之後的一段預定時段之後的至少其中一者感測該參數;以及在該基板之該電漿處理的過程中,基於針對該基板所感測之該參數來對該基板調節該RF功率之該參數。

Description

用於將對基板體電阻率變動響應之沉積或蝕刻速率變化降低的射頻功率補償
本申請案主張以下申請案的優先權:於2018年8月17日提交之美國臨時申請案第62/765,244號。上方引用之申請案的全部內容通過引用於此納入。
本揭露相關於基板處理系統,且更特別是相關於用於將對基板體電阻率(bulk resistivity)變動響應之沉積或蝕刻速率變動降低的RF功率補償。
此處所提供之先前技術章節係為了一般性呈現揭露內容的目的。本案列名發明人的工作成果,在此先前技術段落中所述範圍、以及不適格為申請時先前技術之實施態樣的描述,不明示或暗示承認為對抗本揭露內容的先前技術。
基板處理系統可用於執行蝕刻、沉積、清潔及/或諸如半導體晶圓之基板的其他處理。在處理過程中,將基板配置在基板處理系統之處理腔室中的基板支撐件(諸如底座、靜電夾頭(ESC)等等)上。將製程氣體混合物引入處理腔室以處理基板。可點燃電漿以增強處理腔室內的化學反應。可將RF偏壓供應至基板支撐件以控制離子能量。
一種基板處理系統,包含:一電漿產生器,其設置以將(射頻)RF功率供應至配置在一處理腔室中之一電極。一感測器係設置以感測供應至該電極之該RF功率的一參數。一控制器係設置以藉由以下操作對由於配置在一基板支撐件上之一基板的體電阻率的變動而產生之一電漿處理的一速率的變動加以補償:使該感測器在該基板之電漿處理之前、以及在該基板之該電漿處理開始之後的一段預定時段之後的至少其中一者感測該參數;以及在該基板之該電漿處理的過程中,基於針對該基板所感測之該參數來對該基板調節該RF功率之該參數。
在其他特徵中,該參數係選自由在一第一頻率之一RF相位角、一RF電流及一RF電壓組成之群組。該參數包括在一第一頻率之一RF電壓。該第一頻率小於或等於2 MHz。該第一頻率係在從300 kHz到500 kHz的範圍內。該電漿產生器包含:一第一RF源,其以該第一頻率供應該該RF功率的至少一部分;以及一匹配網路,其包含連接至該第一RF源的一輸入、以及連接至該電極之一輸出。
在其他特徵中,該電漿產生器包含一第二RF源,其以一第二頻率供應該RF功率的至少一部分。該第二RF源係連接至該匹配網路的該輸入,且該第二頻率與該第一頻率不同。該感測器在該匹配網路及該電極之間加以連接。
在其他特徵中,該電漿處理包括在該基板上的膜沉積,且該速率包括一沉積速率。該電漿處理包括在該基板上之膜蝕刻,且該速率包括一蝕刻速率。該電漿處理包括在一斜角蝕刻器中之斜面沉積。
一種用於操作基板處理系統的方法,包含:將射頻(RF)功率供應至配置在一處理腔室中之一電極;感測供應至該電極之該RF功率之一參數;以及藉由以下操作對由於配置在一基板支撐件上之一基板的體電阻率的變動而產生之一電漿處理之一速率加以補償:在該基板之電漿處理之前、以及在該基板之該電漿處理開始之後的一段預定時段之後的至少其中一者感測該參數;以及在該基板之該電漿處理的過程中基於針對該基板所感測之該參數來調節該參數。
在其他特徵中,該參數係選自由該RF功率之一RF相位角、一RF電流及一RF電壓組成之群組。該參數包括在一第一頻率之一RF電壓。該RF電壓具有小於或等於2 MHz的一頻率。該RF電壓具有在從300 kHz到500 kHz的範圍內的一頻率。
在其他特徵中,該方法包含:使用在一第一頻率運作之一第一RF源來供應該RF功率的至少一部分;使用在一第二頻率運作之一第二RF源來供應該RF功率的至少一部分,該第二頻率高於該第一頻率;以及使用一匹配網路,以將該第一RF源及該第二RF源的阻抗與該電極匹配。
在其他特徵中,該方法包含感測在該匹配網路及該電極之間的該參數。該電漿處理包括在該基板上的膜沉積,且該速率包括一沉積速率。該電漿處理包括在該基板上之膜蝕刻,且該速率包括一蝕刻速率。
一種基板處理系統,包含一電漿產生器,其設置以將(射頻)RF功率供應至配置在一處理腔室中之一電極。一第一RF源以一第一頻率供應該RF功率的至少一部分。一第二RF源以一第二頻率供應該RF功率的至少一部分,該第二頻率大於該第一頻率。一匹配網路包含連接至該第一RF源及該第二RF源的一輸入、以及連接至該電極之一輸出。一感測器係設置以感測在該第一頻率之該RF功率的一參數。一控制器係設置以藉由以下操作對由於配置在一基板支撐件上之一基板的體電阻率的變動而產生之一電漿處理的一沉積速率及一蝕刻速率的其中一者的變動加以補償:使該感測器在該基板之電漿處理之前、以及在該基板之該電漿處理開始之後的一段預定時段之後的至少其中一者感測該參數;以及在該基板之該電漿處理的過程中,基於針對該基板所感測之該參數對該基板調節該RF功率之該參數。
本揭露的更進一步應用領域從細節描述、所請專利範圍以及圖式將變得顯而易見。細節描述與特定示例僅意欲說明性之目的,並不意圖限制本揭露之範疇。
基板處理系統係設置以使用共同製程來處理大量基板。為了減少缺陷,對每個基板都必須以相同的方式進行製程。舉例而言,在給定步驟過程中以一配方處理之所有基板,沉積及/或蝕刻速率應該相同。然而,供應至基板處理系統的該等基板可具有不同性質,這可能不良地影響製程不均勻性。舉例而言,輸送至基板處理系統的基板可在體電阻率具有變動。
由於基板體電阻率在1-500歐姆·公分的範圍中變動,因此用於斜面沉積之當前工具遭受大於±17%的沉積速率變動。由於在基板體電阻率的差異因此可預期相似的蝕刻速率變動。某些製造商試圖藉由選擇並控制所供應之基板的基板體電阻率來減緩沉積速率變動,這會增加成本。
本揭露相關於用於在基於電漿之基板處理系統中的動態RF功率補償以減緩由於基板體電阻率之變動而發生的沉積或蝕刻速率變動的系統及方法。所揭露之系統及方法可對每個個別基板執行RF功率補償。在某些例子中,該系統及方法使用RF電壓感測器以偵測RF電壓,RF電壓與基板體電阻率相關,但可使用諸如電流、相位角等等的其他參數。控制器基於所量測之參數來補償RF功率以減緩沉積速率隨基板體電阻率變動而產生的變化。
舉例而言,在非沉積步驟過程中偵測RF電壓,接著在沉積步驟過程中將所偵測之RF電壓用於補償RF功率。替代地,在沉積步驟開始時偵測RF電壓,接著將所偵測之RF電壓用以對沉積步驟其餘部分補償RF功率。
在其他範例中,在非蝕刻步驟過程中偵測RF電壓,接著在蝕刻步驟過程中將所偵測之RF電壓用於補償RF功率。在某些範例中,在蝕刻步驟的開始時偵測RF電壓,接著將所偵測之RF電壓用以對蝕刻步驟其餘部分補償RF功率。
儘管後續說明相關於在斜面沉積過程中供應至基板處理系統之RF功率的補償以對基板體電阻率之變動進行補償,此處所述之原理亦可用於斜角蝕刻器中的蝕刻步驟。此原理亦可應用於在其他類型之電漿處理腔室及/或製程(諸如電漿輔助化學氣相沉積(CVD)或電漿輔助原子層沉積(PEALD))中的沉積步驟或蝕刻步驟。
現在參考圖1,顯示用於清潔基板118的斜邊及/或在基板118的斜邊上沉積薄膜的基板處理系統100。基板處理系統100包含腔壁102,腔壁102具有閘142,基板118通過閘142而加以裝載/卸載。上電極組件104係連接至支撐件108。基板處理系統100包含下電極組件106。致動器(未顯示)係附接至支撐件108,用以將上電極組件104上下移動(在雙箭頭的方向)以調節上電極組件104及基板118之間的間隙。
金屬伸縮囊148在允許支撐件108相對於腔壁102垂直移動的同時,在腔壁102及支撐件108之間形成真空密封。支撐件108具有中央氣體饋送部(通道)112以及邊緣氣體饋送部(通道)120。氣體饋送部112、120的其中一者或兩者可輸送電漿氣體混合物以清潔斜邊及/或在斜邊上沉積薄膜。
在運作的過程中,電漿係環繞基板118的斜邊而形成且該電漿一般而言是環狀的。為了防止電漿到達基板118的中央部分,上電極組件104上之上介電板116與基板118之間的容積是小的,且將製程氣體從中央饋送部加以饋送(例如,通過階梯狀管道114)。然後,氣體以基板之徑向方向通過在上電極組件104及基板118之間的間隙。
在某些例子中,吹掃氣體係通過中央氣體饋送部112注入,而製程氣體則係通過邊緣氣體饋送部120注入。將電漿/製程氣體從腔室容積151經由複數孔口(出口)141抽至底部容積140。在某些範例中,在清潔或沉積操作過程中可使用真空泵143以將底部容積140抽空。
上電極組件104包含上介電板116及上金屬元件110,上金屬元件110藉由合適的緊固機構固定於支撐件108且經由支撐件108接地。上金屬元件110具有一或更多邊緣氣體通路或通孔122a、122b及邊緣氣體充氣部124a。邊緣氣體通路或通孔122a、122b係耦合至邊緣氣體饋送部120用以在運作過程中之流體聯通。上介電板116係附接至上金屬元件110。
下電極組件106包含供電電極126,其具有上部部分126a及下部部分126b。銷操作單元132及升降銷130將基板118上下移動。底部介電環138包含上部部分138a及下部部分138b。在某些例子中,夾頭包含靜電夾頭或真空夾頭。此後,用語供電電極表示上部及下部部分126a、126b的其中一者或兩者。同樣地,用語底部介電環138表示上部及下部部分138a、138b的其中一者或兩者。供電電極126係耦合於一個射頻(RF)功率源170或是兩個射頻(RF)功率源170及171以在運作過程中承受RF功率。
升降銷130在圓柱狀空孔或路徑131內垂直移動且由位在供電電極126中之銷操作單元132在上部及下部部分之間加以移動。銷操作單元132包含圍繞各升降銷以維持圍繞銷之真空密封環境的殼架。銷操作單元132包含任何合適的升降銷機構,諸如機器人133(例如具有延伸進入各殼架並附接於各銷之節段的水平手臂)以及手臂致動裝置(未顯示)且伴隨有銷導組件133a。
將基板118安置在下電極或下部可配置電漿排除區域(PEZ)環160。用語PEZ表示從基板中央到將用於清潔斜邊或用於在斜邊上沉積的電漿加以排除之區域的外部邊緣的徑向距離。在一實施例中,供電電極126的頂部表面、基板118的底部表面、以及下部可配置PEZ環160的內部周緣可形成封閉的真空區域凹陷部(真空區域)119,真空區域凹陷部(真空區域)119與諸如真空泵136的真空源呈流體聯通。用於升降銷130的圓柱狀空口孔或路徑亦作為氣體通路而共享,在運作過程中真空泵136通過該等氣體通路將真空區域119排空。供電電極126包含充氣部134以降低在真空區域119中的時間壓力波動。在使用多升降銷的情況下,充氣部134對圓柱狀空孔提供均勻吸引率。
在運作過程中,基板曲折可藉由使用在基板118頂部及底部表面之間的壓力差來降低。在運作過程中真空區域119中的壓力係由耦合至充氣部134的真空泵136來維持在真空下。藉由調節上介電板116及基板118之頂部表面之間的間隙,可在不改變製程氣體的整體流量的情況下,將間隙中的氣壓變動。因此,藉由控制間隙中的氣壓,可將在基板118之頂部及底部表面之間的壓力差變動,從而可控制施加在基板118上的彎力。
在某些範例中,底部介電環的下部部分138b具有形成在其上表面之內部周緣上的步階152以配合在供電電極126之下部邊緣上的凹陷部。在某些範例中,下部部分138b具有形成在其外部周緣上的步階150以配合在底部介電環(稱為聚焦環)之上部部分138a上的步階表面。步階150、152將底部介電環138與供電電極126對準。步階150亦沿其表面形成曲折的間隙以消除供電電極126及腔壁102之間的直接視線,從而降低在供電電極126及腔壁102之間二次電漿點燃的可能性。
控制器190控制基板處理系統100的運作。控制器與氣體輸送系統192通訊以在製程過程中在恰當的時間將氣體輸送至基板處理系統100。控制器190與RF感測器(未顯示)通訊,RF感測器感測RF電壓或RF電壓、電流及相位角。將RF感測器係安裝在RF匹配網路(未在此處顯示)及處理腔室之間。控制器190與真空泵136及143通訊並對其加以控制以控制在基板處理系統中的壓力。控制器190與機器人133通訊並對其加以控制。控制器190與兩個RF功率源170及171通訊並對其加以控制。
現在參考圖2到4,對於將在處理腔室中使用共同配方加以處理的一組基板,基板體電阻率通常將會變動。如上所述,基板體電阻的變動不良地影響沉積及/或蝕刻速率。在圖2中,由於基板體電阻率係在從~ 1到500歐姆·公分的預定電阻率範圍內變動,因此將沉積速率顯示為HF電壓的函數。如此處所使用,HF表示從2MHz到120MHz的RF頻率。舉例而言,HF可表示13.56 MHz。如可從圖2所理解的,在電漿的HF電壓與沉積速率之間沒有明顯的關係,且HF電壓具有從~166伏特到~173伏特的小變動,這意味著輸送至電漿的HF功率不會受晶圓體電阻率差異的很大影響。因此,HF電壓不可用作為用於HF功率補償以將隨晶圓體電阻率而導致的沉積速率變化降低的晶圓體電阻率的指標。輸送至電漿中之HF功率的變動並非沉積率變化的主要貢獻者。
在圖3中,由於基板體電阻率係在預定電阻率範圍內變動,因此將沉積速率顯示為在沉積步驟之LF電壓的函數。如此處所使用,LF表示低於2MHz的較低RF頻率。舉例而言,LF可在從300到500 kHz的範圍內(例如400 kHz)。如可理解的,如圖3中所示,在電漿的LF電壓與沉積速率之間有非常好的線性關係。在沉積步驟第二秒取樣的LF電壓具有從~365V到~420V的很大變動,這指出了輸送進入電漿的LF功率受晶圓體電阻率差異所影響。隨著晶圓體電阻率上升,在晶圓上發生更多LF功率損失,且較少的淨功率輸送到電漿中。因此,沉積速率隨著較高的晶圓體電阻率而下降。如圖4中所示,在電漿的LF功率與沉積速率之間亦具有好的線性關係。因此,LF電壓可用作晶圓體電阻率的主要指標,用於LF功率補償以將隨晶圓體電阻率而導致的沉積速率變化降低。輸送至電漿中之LF功率的變動係沉積率變化的主要貢獻者。
舉例而言,當沉積步驟開始時,在點燃電漿之後的一預定時間(例如在沉積步驟的2秒時)使用位在匹配網路及處理腔室之間的感測器偵測LF電壓。接著,沉積速率D/R為: D/R = a*V_LF+b 在此例中,如圖3中所示,a = -52.761 且 b = 33402。為了取得每分鐘13,000埃的D/R,LF功率補償為: ΔP = (D/R-13000)/k 其中,在圖4中所示之此例中k=22.392。
緊接在LF電壓取樣之後,LF功率將基於以下公式受補償或被變成新的補償功率直到沉積步驟結束: P_new = P_original – ΔP 在此例中,P_original = 300W。
結合用於LF功率補償之前式: P_new = P_original – (a*V_LF+b-13000)/k, 其中,在此例中a=-52.761、b=33402、k=22.392。
如可理解的,藉由基板運行數據以對各製程及系統決定常數參數a、b及k。換句話說,方程式參數a、b及k係針對不同製程/配方及/或處理腔室而為可設置的。
現在參考圖5,顯示將正向RF功率(在LF)及LF電壓繪示為時間函數的圖。在某些範例中,電壓的取樣係在點燃電漿後諸如2秒的預定時間時由V或VI探針所執行。接著,對在LF電壓取樣時間之後的沉積步驟的剩餘部分施加補償的LF功率(例如在2秒時取樣並從2.5秒或3.0秒到沉積步驟結束進行補償)。然而,LF電壓取樣可在非沉積步驟過程中進行。取樣的LF電壓係用以在整個沉積步驟過程中補償LF功率。
如上所述,當存在雙RF(HF+LF)電漿且基板係放置在處理腔室中時,可進行LF電壓量測。舉例而言,可將先前電漿處理步驟用以量測LF電壓,且測得的LF電壓可用以在後續電漿沉積處理步驟過程中補償LF功率。
在圖6及7中,一範例描繪了在一個步驟710(例如氧化或非沉積步驟)過程中LF電壓的量測、以及基於測得的LF電壓在後續沉積步驟720過程中LF功率的補償。在此例中,在兩個步驟的過程中基板係放置在處理腔室內且電漿係在處理腔室內點燃。在某些範例中,在兩個步驟之間將電漿熄滅。在其他範例中,在兩個步驟過程中將電漿維持且電漿製程參數在步驟之間改動。在某些範例中,電漿處理步驟係連續而沒有中介步驟的。在其他範例中,可在電漿處理步驟之間進行一或更多中介基板處理步驟(電漿或非電漿處理步驟)。
在圖6中,範例圖將沉積速率繪示為在氧化(非沉積)步驟過程中測得的LF電壓的函數。在氧化步驟過程中測得的LF電壓係用以在於接續在氧化步驟之後的沉積步驟過程中補償LF正向功率。如可理解的,得自圖6及圖4用於LF功率補償的LF功率補償參數:a=-107、b=26301、k=22.392(使用方程式(P_new = P_original – (a*V_LF+b-13000)/k))與在上方範例中從圖3及圖4中所得的那些不同。在圖7中,顯示了在氧化步驟710及沉積步驟720過程中的HF正向功率、LF正向功率及LF電壓。在氧化步驟710測得的LF電壓118伏特係用於確定在接續在氧化步驟之後的沉積步驟720過程中新的補償LF功率274瓦。在此RF功率補償方法中,對1至500歐姆·公分之晶圓體電阻率範圍的沉積速率變化從+/-17%顯著地降低到+/-3%。
現在參考圖8,顯示根據本揭露用於控制/補償RF功率的控制系統800。控制系統800可與圖1中所示之處理腔室結合使用或與用於沉積及/或蝕刻的其他電漿處理腔室結合使用。控制系統800包含將功率控制信號提供至高頻(HF)產生器820及低頻(LF)產生器824的控制器810。HF產生器820生成輸出至雙頻阻抗匹配網路830的HF RF功率。LF產生器824生成輸出至雙頻阻抗匹配網路830的LF RF功率。在某些範例中,用於感測RF電壓的RF電壓感測器或VI探針832係配置在雙頻阻抗匹配網路830及處理腔室840之間,但可在圍繞電漿處理腔室之其他位置感測RF電壓。
處理腔室840包含第一電極842及第二電極844。氣體輸送系統192(不在此處顯示)將氣體混合物供應至處理腔室840。在某些範例中,氣體輸送系統192包含由一或更多閥、質量流量控制器及/或歧管所連接至處理腔室840的一或更多氣源。
將HF產生器820及LF產生器824的輸出通過雙頻匹配網路830連接至第一電極842或第二電極844的其中一者。第一電極842及第二電極844中的另一者係連接至諸如接地的參考電位。當在第一電極842及第二電極844之間的電漿氣體混合物由RF功率所激發時,電漿產生。如可理解的,氣體混合物可包含用於沉積製程的一或更多沉積前驅物或用於蝕刻製程的蝕刻氣體。
阻抗匹配網路830試圖使HF產生器820及LF產生器824的阻抗與電極、電漿及處理腔室的有效阻抗匹配。當匹配時,在不反射的情況下發生最大功率轉移。控制器810基於由以850識別之電壓探針或電壓及電流(VI)探針所量測之測得的LF電壓來調節供應至LF產生器824的功率控制信號,當RF感測器安裝在匹配網路830及處理腔室840之間時,電壓探針或電壓及電流(VI)探針850包含832。
現在參考圖9,顯示了用於在沉積或蝕刻過程中控制/補償RF功率的方法900。在920,將基板配置在基板支撐件上(或者從先前處理步驟時基板已存在)。在924,將製程氣體供應至處理腔室且藉由使用LF及HF產生器供應功率來點燃電漿。若是執行沉積步驟,製程氣體包含一或更多前驅物。若是進行蝕刻步驟,製程氣體包含一或更多蝕刻氣體。
在926中在等待一預定時段之後,在930量測諸如LF電壓的參數。在基板位於處理腔室中且電漿存在的同時,計算LF功率。在934,基於感測之參數(例如此例中的LF電壓),如上所述地確定LF功率補償。在938,基於在934中計算之LF功率補償來調節LF功率。
在942,該方法確定沉積或蝕刻是否已完成。若是942為真(true),該方法將電漿在946熄滅且停止製程氣體的流動。上述之RF功率補償方法利用測得之RF電壓(例如LF電壓)。然而,相同RF功率補償方法可利用其他RF參數,諸如來自的RF電流感測器或VI探針的RF電流、來自VI探針的相位角或其他參數。此外,上述之晶圓體電阻率的範圍係從~ 1到500歐姆·公分,但RF功率補償方法並不僅限於此晶圓體電阻率範圍。
前述本質僅是用以說明性描述,而非意欲限制本揭露、其應用或用途。此揭露之廣泛教示可以多種形式實行。因此,儘管此揭露包含特定例子,然而由於經由研讀附圖、說明書以及以下專利申請範圍,其他調整將變得顯而易見,因此本揭露之真實範疇不應僅限於此。應知悉在不改變本揭露的原理之下,一個方法中的一或更多步驟可以不同順序(或同時)執行。再者,儘管每個實施例在上方所描述為具有特定特徵,然而相關於任何本揭露之實施例所描述的這些特徵中的任何一或多者可在任何其他實施例的特徵中實施及/或與其結合實施,就算該結合沒有明確描述。換句話說,所述之實施例並非互斥的,且一或更多實施例與另一者置換仍在此揭露的範疇內。
使用各種用語描述之部件之間(例如,在模組、電路元件、半導體層等等之間)的空間及功能關係,包含「連接」、「契合」、「耦合」、「毗連」、「相鄰」、「在頂部」、「上方」、「下方」、以及「設置」。除非明確的描述為「直接」,當在上述揭露中描述第一與第二部件之間的關係時,該關係可以是在該第一與第二部件之間沒有其他中介部件存在的直接關係,也可以是在該第一與第二部件之間(空間上或功能上)存在一或更多中介部件的間接關係。如此處所使用,用語至少為A、B及C其中之一應被解釋為使用非排他性的「或者」表示邏輯(A或B或C),並且不應解釋為表示「至少A其中之一、至少B其中之一以及至少C其中之一」。
在某些實例中,控制器是系統的一部分,其可能是上述例子中的一的部分。該系統可包括半導體處理設備,包含處理工具、腔室、平台及/或特定處理元件(晶圓底座、氣流系統等等)。這些系統可能整合電子產品以控制他們在半導體晶圓或基板之處理前、中、及後的作業。該電子產品可稱為「控制器」,可控制各種系統的元件或子部件。該控制器可能被設計用以控制任何此處所揭露的製程,包含製程氣體輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流量設定、流體輸送設定、位置與操作設定、晶圓輸送進出工具與其他輸送工具及/或連接到特定系統或與之介面的負載鎖,端看處理需求及/或系統類型。
廣泛地說,控制器可被定義為具有各種積體電路、邏輯、記憶體及/或軟體,可接受指令、發送指令、控制操作、啟用清潔操作、啟用端點測量等等的電子產品。該積體電路可能包含韌體形式儲存程式指令的晶片、數位信號處理器(DSPs)、定義為特殊用途積體電路(ASICs)的晶片、及/或執行程式指令(例如軟體)的一或更多微處理器或微控制器。程式指令可能係以各種單獨設定(或程式文件)的形式傳達至控制器的指令,定義在半導體晶圓或系統上執行的特定製程之操作參數。在一些實施例中,該操作參數可能是在由製程工程師定義於製造晶圓的一或多層、材料層、金屬層、氧化層、矽晶層、二氧化矽層、表面、電路及/或晶粒的過程中,用以完成一或更多製程步驟的配方的一部分。
在某些實施方式中,該控制器可能為一與系統整合、與系統耦合要不然就是與系統聯網或者結合以上方式的電腦的一部分或是與之耦合。舉例而言,該控制器可能在「雲端」或是工廠主機電腦系統的一部分或全部,可允許遠端存取晶圓製程。該電腦可能可以遠端連接至系統以監控現行製造作業進程、查看過去製造作業之歷史紀錄、查看多個製造作業的趨勢或性能矩陣、修改現行製程參數、設定製程步驟以接續現行製程,或是開始新製程。在某些例子中,遠端電腦(例如伺服器)可透過可包含區域網路或網際網路的聯網提供製程配方至系統。該遠端電腦可能包含可以進入或設計參數及/或設定的使用者介面,這些設定會接著從遠端電腦連接至系統。在某些例子中,控制器收到資料形式的指令,該資料指定在一或更多操作過程中待執行之每個製程步驟的參數。應知悉,參數可以特定針對待執行製程的類型以及控制器設置以與之介面或將其控制的工具類型。因此如上所述,控制器可能是分散的,一如經由組合一或更多個別控制器透過聯網合作並朝一個共同目的工作,正如此處描述的製程與控制。一個用於此目的的分散式控制器例子可以是在一個腔室上一或更多積體電路連接一或更多位於遠端的積體電路(例如在平台水平或是遠端電腦的一部分)兩者結合以控制該腔室的製程。
不受限地,範例系統可能包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子佈植腔室或模組、徑跡腔室或模組,以及任何其他可能相關聯或用於生產及/或製造半導體晶圓的半導體製程系統。
如上所述,控制器會聯絡一或更多其他工具電路或模組、其他工具部件、群組工具、其他工具介面、毗連工具、相鄰工具、遍布工廠的工具、主電腦、另一控制器,或將晶圓容器傳送出或傳送至半導體製造工廠中工具位置及/或裝載端口的材料輸送工具,視工具執行的製程步驟而定。
100:基板處理系統 102:腔壁 104:上電極組件 106:下電極組件 108:支撐件 110:上金屬元件 112:中央氣體饋送部 114:階梯狀管道 116:介電板 118:基板 119:真空區域 120:邊緣氣體饋送部 122a:邊緣氣體通路或通孔 122b:邊緣氣體通路或通孔 124a:邊緣氣體充氣部 126:供電電極 126a:上部部分 126b:下部部分 130:升降銷 131:圓柱狀空孔或路徑 132:銷操作單元 133:機器人 133a:銷導組件 134:充氣部 136:真空泵 138:底部介電環 138a:上部部分 138b:下部部分 140:底部容積 141:孔口 142:閘 143:真空泵 148:金屬伸縮囊 150:步階 151:腔室容積 152:步階 160:下部可配置PEZ環 170:RF功率源 171:RF功率源 190:控制器 192:氣體輸送系統 710:氧化步驟 720:沉積步驟 800:控制系統 810:控制器 820:HF產生器 824:LF產生器 830:匹配網路 832:RF電壓感測器或VI探針 840:處理腔室 842:第一電極 844:第二電極 850:電壓探針或電壓及電流(VI)探針
從詳細描述與隨附圖示將變得更全面地理解本揭露,其中:
圖1為根據本揭露之基板處理系統的部分之範例的剖面視圖;
圖2為繪示沉積速率作為HF電壓之函數的範例的圖;
圖3為繪示沉積速率作為LF電壓之函數的範例的圖;
圖4為繪示沉積速率作為LF功率之函數的範例的圖;
圖5為繪示正向LF功率及LF電壓作為時間之函數的圖;
圖6為繪示在氧化步驟過程中沉積速率作為LF電壓之函數的範例的圖;
圖7為繪示在氧化步驟過程中HF正向功率、LF正向功率及LF電壓以及在沉積步驟過程中LF功率補償的範例的圖;
圖8為根據本揭露用於控制RF功率補償之控制系統的範例的功能性框圖;以及
圖9為說明根據本揭露用於控制RF功率補償之方法的範例的流程圖。
在圖示中,可重複使用索引號碼以識別相似及/或相同的部件。
800:控制系統
810:控制器
820:HF產生器
824:LF產生器
830:匹配網路
832:RF電壓感測器或VI探針
840:處理腔室
842:第一電極
844:第二電極
850:電壓探針或電壓及電流(VI)探針

Claims (20)

  1. 一種基板處理系統,包含: 一電漿產生器,設置以將(射頻)RF功率供應至配置在一處理腔室中之一電極; 一感測器,設置以感測供應至該電極之該RF功率的一參數;以及 一控制器,設置以藉由以下操作對由於配置在一基板支撐件上之一基板的體電阻率的變動而產生之一電漿處理的一速率的變動加以補償: 使該感測器在該基板之電漿處理之前、以及在該基板之該電漿處理開始之後的一段預定時段之後的至少其中一者感測該參數;以及 在該基板之該電漿處理的過程中,基於針對該基板所感測之該參數來對該基板調節該RF功率之該參數。
  2. 如請求項1之基板處理系統,其中該參數係選自由在一第一頻率之一RF相位角、一RF電流及一RF電壓組成之群組。
  3. 如請求項1之基板處理系統,其中該參數包括在一第一頻率之一RF電壓。
  4. 如請求項3之基板處理系統,其中該第一頻率小於或等於2 MHz。
  5. 如請求項3之基板處理系統,其中該第一頻率係在從300 kHz到500 kHz的範圍內。
  6. 如請求項3之基板處理系統,其中該電漿產生器包含: 一第一RF源,以該第一頻率供應該RF功率的至少一部分;以及 一匹配網路,包含連接至該第一RF源的一輸入、以及連接至該電極之一輸出。
  7. 如請求項6之基板處理系統,其中該電漿產生器包含一第二RF源,以一第二頻率供應該RF功率的至少一部分,其中第二RF源係連接至該匹配網路的該輸入,且該第二頻率與該第一頻率不同。
  8. 如請求項6之基板處理系統,其中該感測器在該匹配網路及該電極之間加以連接。
  9. 如請求項1之基板處理系統,其中該電漿處理包括下列其中一者: 在該基板上的膜沉積,且該速率包括一沉積速率;以及 在該基板上之膜蝕刻,且該速率包括一蝕刻速率。
  10. 如請求項1之基板處理系統,其中該電漿處理包括在一斜角蝕刻器中之斜面沉積。
  11. 一種用於操作基板處理系統的方法,包含: 將(射頻)RF功率供應至配置在一處理腔室中之一電極; 感測供應至該電極之該RF功率之一參數;以及 藉由以下操作對由於配置在一基板支撐件上之一基板的體電阻率的變動而產生之一電漿處理之一速率加以補償: 在該基板之電漿處理之前、以及在該基板之該電漿處理開始之後的一段預定時段之後的至少其中一者感測該參數;以及 在該基板之該電漿處理的過程中基於針對該基板所感測之該參數來調節該參數。
  12. 如請求項11之用於操作基板處理系統的方法,其中該參數係選自由該RF功率之一RF相位角、一RF電流及一RF電壓組成之群組。
  13. 如請求項11之用於操作基板處理系統的方法,其中該參數包括在一第一頻率之一RF電壓。
  14. 如請求項13之用於操作基板處理系統的方法,其中該RF電壓具有小於或等於2 MHz的一頻率。
  15. 如請求項13之用於操作基板處理系統的方法,其中該RF電壓具有在從300 kHz到500 kHz的範圍內的一頻率。
  16. 如請求項11之用於操作基板處理系統的方法,更包含: 使用在一第一頻率運作之一第一RF源來供應該RF功率的至少一部分; 使用在一第二頻率運作之一第二RF源來供應該RF功率的至少一部分,該第二頻率高於該第一頻率;以及 使用一匹配網路,以將該第一RF源及該第二RF源的阻抗與該電極匹配。
  17. 如請求項16之用於操作基板處理系統的方法,更包含感測在該匹配網路及該電極之間的該參數。
  18. 如請求項11之用於操作基板處理系統的方法,其中該電漿處理包括在該基板上的膜沉積,且該速率包括一沉積速率。
  19. 如請求項11之用於操作基板處理系統的方法,其中該電漿處理包括在該基板上之膜蝕刻,且該速率包括一蝕刻速率。
  20. 一種基板處理系統,包含: 一電漿產生器,設置以將(射頻)RF功率供應至配置在一處理腔室中之一電極,且包含: 一第一RF源,以一第一頻率供應該RF功率的至少一部分; 一第二RF源,以一第二頻率供應該RF功率的至少一部分,該第二頻率大於該第一頻率;以及 一匹配網路,包含連接至該第一RF源及該第二RF源的一輸入、以及連接至該電極之一輸出; 一感測器,設置以感測在該第一頻率之該RF功率的一參數;以及 一控制器,設置以藉由以下操作對由於配置在一基板支撐件上之一基板的體電阻率的變動而產生之一電漿處理的一沉積速率及一蝕刻速率的其中一者的變動加以補償: 使該感測器在該基板之電漿處理之前、以及在該基板之該電漿處理開始之後的一段預定時段之後的至少其中一者感測該參數;以及 在該基板之該電漿處理的過程中,基於針對該基板所感測之該參數對該基板調節該RF功率之該參數。
TW108128869A 2018-08-17 2019-08-14 基板處理系統與用於操作基板處理系統的方法 TWI816856B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862765244P 2018-08-17 2018-08-17
US62/765,244 2018-08-17

Publications (2)

Publication Number Publication Date
TW202036711A true TW202036711A (zh) 2020-10-01
TWI816856B TWI816856B (zh) 2023-10-01

Family

ID=69525836

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112131914A TW202401628A (zh) 2018-08-17 2019-08-14 基板處理系統與用於操作基板處理系統的方法
TW108128869A TWI816856B (zh) 2018-08-17 2019-08-14 基板處理系統與用於操作基板處理系統的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW112131914A TW202401628A (zh) 2018-08-17 2019-08-14 基板處理系統與用於操作基板處理系統的方法

Country Status (5)

Country Link
US (1) US20210313152A1 (zh)
KR (1) KR20210034095A (zh)
CN (2) CN118248518A (zh)
TW (2) TW202401628A (zh)
WO (1) WO2020036801A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11158488B2 (en) * 2019-06-26 2021-10-26 Mks Instruments, Inc. High speed synchronization of plasma source/bias power delivery
US11990319B2 (en) * 2022-01-05 2024-05-21 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310812A (en) * 1980-08-18 1982-01-12 The United States Of America As Represented By The Secretary Of The Army High power attenuator and termination having a plurality of cascaded tee sections
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US6238528B1 (en) * 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7615132B2 (en) * 2003-10-17 2009-11-10 Hitachi High-Technologies Corporation Plasma processing apparatus having high frequency power source with sag compensation function and plasma processing method
US8333842B2 (en) * 2008-05-15 2012-12-18 Applied Materials, Inc. Apparatus for etching semiconductor wafers
US20090297404A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Plasma reactor with high speed plasma impedance tuning by modulation of source power or bias power
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8624501B2 (en) * 2010-12-08 2014-01-07 Mks Instruments, Inc. Measuring and controlling parameters of a plasma generator
US8895415B1 (en) * 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US9502221B2 (en) * 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9508529B2 (en) * 2014-10-23 2016-11-29 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system
JP6488150B2 (ja) * 2015-02-27 2019-03-20 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9704692B2 (en) * 2015-07-01 2017-07-11 Lam Research Corporation System for instantaneous radiofrequency power measurement and associated methods
US9792393B2 (en) * 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
JP6392266B2 (ja) * 2016-03-22 2018-09-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10725485B2 (en) * 2016-12-15 2020-07-28 Lam Research Corporation System and method for calculating substrate support temperature

Also Published As

Publication number Publication date
TWI816856B (zh) 2023-10-01
US20210313152A1 (en) 2021-10-07
CN118248518A (zh) 2024-06-25
KR20210034095A (ko) 2021-03-29
WO2020036801A1 (en) 2020-02-20
TW202401628A (zh) 2024-01-01
CN112868084B (zh) 2024-04-26
CN112868084A (zh) 2021-05-28

Similar Documents

Publication Publication Date Title
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
US10879044B2 (en) Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
US11342163B2 (en) Variable depth edge ring for etch uniformity control
TWI774652B (zh) 用以進行邊緣環特徵化之系統及方法
TW201842620A (zh) 具有改良的處理均勻性之基板支撐件
US20230083737A1 (en) System, method, and user interface for edge ring wear compensation
TW202030363A (zh) 自動校準程序獨立前饋控制
TWI816856B (zh) 基板處理系統與用於操作基板處理系統的方法
US10347464B2 (en) Cycle-averaged frequency tuning for low power voltage mode operation
US20240210163A1 (en) In-situ wafer thickness and gap monitoring using through beam laser sensor
JP2023527503A (ja) 基板処理システムのための高精度エッジリングのセンタリング
TW202137822A (zh) 基板處理系統之高精度邊緣環定心