TW201842620A - 具有改良的處理均勻性之基板支撐件 - Google Patents

具有改良的處理均勻性之基板支撐件 Download PDF

Info

Publication number
TW201842620A
TW201842620A TW107100004A TW107100004A TW201842620A TW 201842620 A TW201842620 A TW 201842620A TW 107100004 A TW107100004 A TW 107100004A TW 107100004 A TW107100004 A TW 107100004A TW 201842620 A TW201842620 A TW 201842620A
Authority
TW
Taiwan
Prior art keywords
substrate
ceramic layer
substrate support
support
edge ring
Prior art date
Application number
TW107100004A
Other languages
English (en)
Other versions
TWI783960B (zh
Inventor
芳莉 郝
越虹 付
陳志剛
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201842620A publication Critical patent/TW201842620A/zh
Application granted granted Critical
Publication of TWI783960B publication Critical patent/TWI783960B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)

Abstract

一種基板支撐件,其用以在基板處理系統中支撐基板,該基板支撐件包含一底板、及配置在該底板上方的一陶瓷層。該陶瓷層之外周邊係被一邊緣環所圍繞。該陶瓷層之外半徑係較該邊緣環之內半徑更大,使得該陶瓷層之外邊緣在該邊緣環下方延伸。

Description

具有改良的處理均勻性之基板支撐件
本揭露內容係關於基板處理系統中的基板支撐件。
在此背景下本發明產生/ 這裡所供應之先前技術描述係為了大體上呈現本發明之背景。在此先前技術章節中敘述的成果之範圍內之本案列名之發明人的成果、以及在申請期間不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。
基板處理系統可用以處理基板(例如,半導體晶圓)。可於基板上執行之範例性處理包含(但不限於)化學氣相沉積(CVD)、原子層沉積(ALD)、導體蝕刻、介電蝕刻、及/或其它蝕刻、沉積、或清潔處理。可將基板配置於基板處理系統之處理腔室中的一基板支撐件(例如底座、靜電卡盤(ESC)等)上。於蝕刻期間,可將包含一或更多前驅物的氣體混合物導入處理腔室中,並可使用電漿來啟動化學反應。
基板支撐件可包含用以支撐基板的陶瓷層。舉例而言,基板可於處理期間被夾持至陶瓷層上。基板支撐件可包含一邊緣環,該邊緣環係配置成圍繞基板及陶瓷層之外周邊,以獲得最佳的邊緣效能及產量。
一種基板支撐件,其用以在基板處理系統中支撐基板,該基板支撐件包含一底板、及配置在該底板上方的一陶瓷層。該陶瓷層之外周邊係被一邊緣環所圍繞。該陶瓷層之外半徑係較該邊緣環之內半徑更大,使得該陶瓷層的一最高表面之外邊緣在該邊緣環下方延伸。在其他特徵中,該陶瓷層包含配置在該陶瓷層的該最高表面中的一環狀凹槽、及配置在該環狀凹槽中的一插入件。
一種基板處理方法,包含:提供一底板;於該底板上設置一陶瓷層;及設置一邊緣環,該邊緣環係圍繞該陶瓷層之外周邊而配置。該陶瓷層之外半徑係較該邊緣環之內半徑更大,使得該陶瓷層的一最高表面之外邊緣於該邊緣環下方延伸。該陶瓷層包含配置在該陶瓷層的該最高表面中的一環狀凹槽、及配置在該環狀凹槽中的一插入件。該方法更包含在該陶瓷層上配置一基板、及在該基板上執行至少一處理步驟。
本揭露內容之進一步的可應用領域將從實施方式、發明申請專利範圍及圖式中變得明顯。詳細說明及具體範例係意圖為僅供說明的目的,而非意欲限制本揭示內容的範圍。
現在參照圖1,範例性基板支撐件10(例如,靜電卡盤(ESC))係加以顯示。基板支撐件10包含支撐陶瓷層18的導電底板14。熱阻層22(例如,接合層)可配置在陶瓷層18與底板14之間。基板26係配置在基板支撐件10的陶瓷層18上。基板支撐件10可包含圍繞基板26之外周邊的邊緣組件30。在一些範例中,邊緣組件30可包含內邊緣環34、及外絕緣環38。間隙42可定義於基板26之外周邊與邊緣環34之間。基板支撐件10可包含圍繞底板14並支撐邊緣環34的一或更多附加的環狀結構48、52、56、60 。可設置結構48、52、56、及60以達成與處理均勻性相關之特性(例如,期望之熱傳導性、期望之電或RF耦合等)。
與基板26及/或基板支撐件10之構件相關的製造公差可導致處理非均勻性。舉例而言,可對邊緣環34之內半徑加以選擇,使其大到足以接納在基板支撐件10上所處理之基板的外半徑上的變異。因此,不同的基板在基板26的外半徑與邊緣環34的內半徑之間可具有不同的間隙42。在一些範例中(如圖所示),基板26的外半徑可與邊緣環34的內半徑重疊,且可大於陶瓷層18的外半徑以獲得期望的處理表現。
在間隙42之寬度上的變化可導致與複數基板之處理相關的非均勻性。舉例而言,由於溫度非均勻性、電場非均勻性等因素,在基板26的外邊緣與邊緣環34及/或陶瓷層18之間的位置關係(例如,距離、相對高度等)可導致基板26的外邊緣受到不同的處理(相較於基板26的靠內部分)。因此,基板26在其邊緣可具有非均勻的蝕刻深度、非均勻的沉積材料量等。此外,間隙42可提高電弧放電的可能性,並使陶瓷層26暴露於處理氣體及電漿之部分的侵蝕增加。像侵蝕及電弧放電這樣的潛在影響可能限制施加至基板支撐件的功率,可能導致用於維護等的停機時間增加。
基板處理系統可建構成補償與特定的基板支撐件及/或處理腔室相關的已知處理非均勻性。然而,當基板26的外半徑變化且基板26與邊緣環34之間的關係因此而變化時,補償這些非均勻性可為困難的。根據本揭露內容之原理的系統及方法實現了一基板支撐件,該基板支撐件係用以降低與基板處理相關的非均勻性。舉例而言,基板支撐件的陶瓷層相對於邊緣環及在基板支撐件上處理的基板具有增大之直徑,且可包含一可更換(例如,犧牲或可消耗性的)插入件。
現在參照圖2,顯示了範例性基板處理系統100。僅以舉例而言,基板處理系統100可用於藉由使用RF電漿而執行蝕刻、及/或用於其它合適的基板處理。基板處理系統100包含處理腔室102,其包圍基板處理系統100的其它構件並容納RF電漿。基板處理腔室102包含上電極104、及基板支撐件106(例如,靜電卡盤(ESC))。在操作期間,基板108係配置於基板支撐件106上。 雖然顯示了特定的基板處理系統100及腔室102做為範例,然而本揭露內容之原理可應用於其他類型的基板處理系統及腔室,例如原位產生電漿的基板處理系統、實行遠距電漿產生及輸送(例如,藉由使用電漿管、微波管)的基板處理系統等。
僅以舉例而言,上電極104可包含導入並分配處理氣體的氣體分配裝置(例如,噴淋頭109)。噴淋頭109可包含柄部部分,其包含連接至處理腔室之頂部表面的一端。基部部分大體上為圓柱形,且在與處理腔室之頂部表面間隔開的位置處自柄部部分的一相反端徑向向外延伸。噴淋頭之基部部分的面向基板之表面或面板包含複數的孔,處理氣體或吹淨氣體(purge gas)係流動通過該等孔。或者,上電極104可包含導電板,且處理氣體可以另一方式導入。
基板支撐件106包含了做為下電極的導電底板110。該底板110支撐一陶瓷層112。在一些範例中,陶瓷層112可包含一加熱層(例如,陶瓷多區域加熱板)。一熱阻層114(例如,接合層)可配置在陶瓷層112與底板110之間。底板110可包含用以讓冷卻劑流動通過底板110的一或更多冷卻劑通道116。基板支撐件106可包含配置成圍繞基板108的外周邊的邊緣環118。
RF產生系統120產生並輸出一RF電壓至至上電極104及/或下電極(例如,基板支撐件106的底板110)其中一者。上電極104與底板110其中另一者可為DC接地、RF接地、或浮接。僅以舉例而言,RF產生系統120可包含產生RF電壓之RF電壓產生器122,該RF電壓係藉由匹配與分配網路124而供給至上電極104或底板110。在其他範例中,可感應地或遠程地產生電漿。雖然如吾人為舉例之目的而顯示,RF產生系統120係對應於電容耦合電漿(CCP)系統,但本揭露內容之原理亦可實行於其他合適之系統中,僅以舉例而言,例如變壓耦合電漿(TCP )系統、CCP陰極系統、遠距微波電漿產生及傳送系統等。
氣體輸送系統130包含一或更多氣體來源132-1、132-2、…、及132-N(統稱為氣體來源132),其中N為大於零的整數。該氣體來源供應一或更多前驅物及其混合物。該氣體來源亦可供應吹掃氣體 。亦可使用汽化之前驅物。氣體來源132藉由閥134-1、134-2、…,及134-N(統稱為閥134)、與質量流量控制器136-1、136-2、…,及136-N(統稱為質量流量控制器136)而連接至岐管140。岐管140之輸出係供給至處理腔室102。僅以舉例而言,岐管140之輸出係供給至噴淋頭109。
溫度控制器142可連接至複數加熱元件,例如配置於陶瓷層112中的熱控制元件(TCE,thermal control elements) 144。舉例而言,加熱元件144可包含(但不限於)與多區域加熱板中之個別區域相對應的巨加熱元件、及/或設置於多區域加熱板的多個區域上的微加熱元件之陣列。溫度控制器142可用以控制複數加熱元件144以控制基板支撐件106及基板108之溫度。根據本揭露內容之原理的該等加熱元件144其中每一者可包含具有正TCR的第一材料、及具有負TCR的第二材料(如下面所詳述)。
溫度控制器142可與冷卻劑組件146通信以控制流動通過通道116的冷卻劑流量。例如,冷卻劑組件146可包含冷卻劑泵浦及貯存器。溫度控制器142對冷卻劑組件146進行操作以選擇性地使冷卻劑流動通過通道116以冷卻基板支撐件106。
閥150及泵浦152可用以從處理腔室102抽空反應物。系統控制器160可用以控制基板處理系統100的構件。機械臂170可用以將基板傳遞至基板支撐件106上、及將基板從基板支撐件106移除。例如,機械臂170可在基板支撐件106與裝載鎖室172之間傳送基板。雖然顯示為獨立的控制器,但溫度控制器142可實現於系統控制器160內。在一些範例中,可圍繞接合層114之周邊而在陶瓷層112與底板110之間設置一保護性密封件176。
如以以下所詳述,根據本揭露內容之原理的基板支撐件106的邊緣環118及陶瓷層112相對於基板108具有增大的外直徑。此外,陶瓷層112的外半徑可大於邊緣環118的內半徑,使得陶瓷層112於邊緣環118下方延伸。如以下在圖3、4、5、及6中所述,陶瓷層112可包含一可更換插入件(圖2中未顯示) 。
現在參照圖3及圖4,顯示了範例基板支撐件300。基板支撐件300於圖3中係以橫剖面圖顯示,且在圖4中以係平面圖顯示。基板支撐件300包含支撐陶瓷層308的導電底板304。接合層312可配置在陶瓷層308與底板304之間。基板316係配置在陶瓷層308上。基板支撐件300包含邊緣組件320,其係圍繞基板316的外周而配置。在一些範例中,邊緣組件320可包含內邊緣環324、及外絕緣環328。為了簡潔,圖4中並未顯示外絕緣環328。
陶瓷層308的直徑及外半徑(及對應的外邊緣332)、及邊緣環320的內半徑係相對於基板支撐件300上所在處理的基板而增加。基板316與邊緣環324 之間的間隙336的寬度可增加。舉例而言,陶瓷層308的外半徑可較基板支撐件300上所可能處理之最大基板的外半徑更大了一預定最小偏移。僅以舉例而言,對於300 mm基板(換言之,具有150 mm半徑)而言,基板的製造變異可高達1 mm,從而導致了150.5 mm的外半徑。因此,陶瓷層308的外半徑可為150.5 mm加上偏移。在一些範例中,偏移為至少1 mm。在其他範例中,偏移為至少為2 mm。如此一來,對於用以處理300 mm基板的基板支撐件而言,陶瓷層308的外半徑可為151.5 mm以提供1 mm的偏移。相似地,對於用以處理450 mm基板的基板支撐件而言,陶瓷層308的外半徑可為226.1 mm以提供1 mm的偏移。 僅以舉例而言,在用以處理具有直徑d(例如,d mm)、及v mm之製造變異的基板的配置中,陶瓷層可具有大於或等於(d + v)/2、與預定偏移之總和的外半徑。
雖然僅提供1 mm及2 mm的偏移作為範例,但該偏移可具有任何足以使陶瓷層308在邊緣環324下方延伸的量。舉例而言,陶瓷層308可具有一外半徑,其較邊緣環324的內徑大了一最小的量。舉例而言,陶瓷層308的外半徑可較邊緣環324的內半徑大1 mm、2 mm、3 mm等。因此,陶瓷層308在邊緣環324下方延伸,且陶瓷層308的外邊緣332係配置在邊緣環324的下方(換言之,邊緣環324與陶瓷層308的外邊緣332重疊)。
由於陶瓷層308在邊緣環324下方延伸且較基板316更大,所以陶瓷層308的一部分未被基板316或邊緣環324所覆蓋。因此,陶瓷層308可包含可更換插入件340。舉例而言,插入件340為環狀的,且係配置於陶瓷層308之上表面中的環狀溝槽或凹槽344中而在邊緣環324下方。僅以舉例而言,插入件340係配置在一交界,該交界係位於在邊緣環 324下方的陶瓷層308的一部分、與暴露於處理氣體及電漿的陶瓷層308的一部分(換言之,陶瓷層308不被基板316或邊緣環324所覆蓋的一部分)之間。與插入件340對應之陶瓷層308的此部分可能經歷更多對處理氣體(例如,電漿)的暴露,並因此經歷更多的磨損及侵蝕。因此,在沒有可更換插入件340之情況下,間隙336所導致之更多的對電漿暴露會導致陶瓷層308的更多侵蝕,且陶瓷層308會需要頻繁地更換。
反之,可更換插入件340可在較低的成本、較少的系統停機時間、及基板支撐件300之構件的更有效率拆卸及重新組裝下進行更換。舉例而言,插入件340可藉由移除邊緣組件320 的內邊緣環324、並接著移除插入件340,而進行更換。僅以舉例而言,插入件340可包含與陶瓷層308相同的材料(例如,任何合適的陶瓷)。因此,暴露於處理氣體可導致插入件340的腐蝕。如此一來,插入件340之特性可為犧牲性的或可消耗的。
在一些範例中,基板支撐件300可將像圖1中所示之環狀結構48、52、56、及60這樣的結構消除及/或簡化。舉例而言,由於使陶瓷層308的外半徑相對於基板316增加改良了在基板316之邊緣的處理均勻性,因此設置用以改良處理均勻性的額外結構可為不必要的。僅以舉例而言, 在圖1之邊緣環34正下方的環狀結構52、56、及60係於圖3所示的範例中加以消除。
現在參照圖5,顯示了具有可更換插入件340的陶瓷層308之範例。在一些範例中,插入件340可包含用以將插入件340附接至陶瓷層308的一或更多插孔(例如螺紋插孔) 348。陶瓷層308可包含一或更多切口352,以便於從陶瓷層308中的凹槽344移除插入件340。舉例而言,切口352可用以容納用以從凹槽344撬開插入件340的工具。
現在參照圖6, 顯示了基板支撐件320的另一範例。在此範例中,插入件340係較圖3所示之範例中更寬。因此,插入件340從邊緣環324下方延伸進入至間隙336中,並在基板316的外邊緣下方延伸。換言之,插入件340佔據了在間隙336中暴露於處理氣體的陶瓷層308的整個部分。
現在參照圖7,範例性基板處理方法700係開始於704。在708,提供包含陶瓷層的基板支撐件。該基板支撐件係用以處理一基板(換言之,晶圓),該基板具有例如200 mm、300 mm、450 mm等標準尺寸。如上面所述,陶瓷層具有較欲於基板支撐件上處理之基板的外半徑更大的外半徑。舉例而言,若基板支撐件係用以處理具有直徑d、及製造變異v的標準基板,則陶瓷層可具有大於或等於(d + v)/2與一預定偏移之總和的外半徑。在712,將基板配置在陶瓷層上。在716,在基板上執行一或更多基板處理步驟。方法700於720結束。
以上所述在本質上僅為說明且係決非意欲限制本揭示內容、其應用、或使用。本揭示內容的廣泛教示可以多種方式執行。因此,雖然此揭示內容包含特殊的例子,但本揭示內容的真實範圍應不被如此限制,因為其他的變化將在研讀圖示、說明書及以下申請專利範圍後變為顯而易見。吾人應理解方法中的一或多個步驟可以不同的順序(或同時)執行而不改變本揭示內容的原理。另外,儘管每個實施例中皆於以上敘述為具有特定的特徵,但相關於本揭示內容之任何實施例中所敘述的該等特徵之任何一或多者可在其他實施例之任一者的特徵中實施、及/或與之組合而實施,即使該組合並未明確說明亦然。換言之,上述實施例並非互相排除,且一或多個實施例之間的排列組合仍屬於本揭示內容的範圍內。
元件之間(例如,在模組、電路元件,半導體層等之間)的空間和功能上的關係係使用各種術語來表述,其中包括「連接」、「接合」、「耦接」、「相鄰」、「接近」、「在頂端」、「上方」、「下方」和「配置」。除非明確敘述為「直接」,否則當於上述揭示內容中描述第一和第二元件之間的關係時,該關係可為第一及二元件之間沒有其他中間元件存在的直接關係,但也可為第一及二元件之間(空間上或功能上)存在一或多個中間元件的間接關係。如本文中所使用,詞組「A、B和C中至少一者」應解讀為意指使用非排除性邏輯OR的邏輯(A OR B OR C),且不應解讀為「A中至少一者、B中至少一者、及C中至少一者」。
在一些實行例中,控制器為系統的一部分,其可為上述範例的一部分。此等系統可包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制該一個以上系統之各種的元件或子部分。依據系統的處理需求及/或類型,控制器可加以編程以控制本文中所揭露的任何製程,其中包含:處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、出入工具、及其他轉移工具、及/或與特定系統連接或介接的負載鎖之晶圓傳送。
廣義而言,控制器可定義為電子設備,其具有各種不同的積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用終點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或多個微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義了用以在半導體晶圓上、對基板、或系統執行特定製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒之製造期間內完成一或多個處理步驟。
在一些實行例中,控制器可為電腦的一部分或連接至電腦,該電腦係與系統整合、連接至系統、以其他方式網路連至系統、或其組合。舉例而言,控制器可為在「雲端」或工廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數個製造操作的趨勢或性能度量、改變目前處理的參數、設定目前操作之後的處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠端電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠端電腦被傳遞至系統。在一些例子中,控制器接收數據形式的指令,該數據明確指定於一或多個操作期間將被執行之各個處理步驟的參數。吾人應理解參數可專門用於將執行之製程的類型與配置控制器以介接或控制之工具的類型。因此,如上面所述,控制器可為分散式的,例如藉由包含一或多個分散的控制器,其由網路連在一起且朝共同的目的(例如本文中所述之製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一或多個積體電路,連通位於遠端(例如在平台級或作為遠端電腦的一部分)的一或多個積體電路,其結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中之其他的半導體處理系統。
如上面所述,依據將由工具執行的一個以上處理步驟,控制器可與下述通訊:一或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或裝載埠。
10‧‧‧基板支撐件
14‧‧‧底板
18‧‧‧陶瓷層
22‧‧‧熱阻層
26‧‧‧基板
30‧‧‧邊緣組件
34‧‧‧內邊緣環
38‧‧‧外絕緣環
42‧‧‧間隙
48、52、56、60‧‧‧環狀結構
100‧‧‧基板處理系統
102‧‧‧處理腔室
104‧‧‧上電極
106‧‧‧基板支撐件
108‧‧‧基板
109‧‧‧噴淋頭
110‧‧‧底板
112‧‧‧陶瓷層
114‧‧‧熱阻層(接合層)
116‧‧‧冷卻劑通道
118‧‧‧邊緣環
120‧‧‧RF 產生系統
122‧‧‧RF 電壓產生器
124‧‧‧匹配與分配網路
130‧‧‧氣體輸送系統
132-1~132-N‧‧‧氣體來源
134-1~134-N‧‧‧閥
136-1~136-N‧‧‧質量流量控制器
140‧‧‧岐管
142‧‧‧溫度控制器
144‧‧‧熱控制元件
146‧‧‧冷卻劑組件
150‧‧‧閥
152‧‧‧泵浦
160‧‧‧系統控制器
170‧‧‧機械臂
172‧‧‧裝載鎖室
176‧‧‧保護性密封件
180‧‧‧升降銷
300‧‧‧基板支撐件
304‧‧‧底板
308‧‧‧陶瓷層
312‧‧‧接合層
316‧‧‧基板
320‧‧‧邊緣組件
324‧‧‧內邊緣環
328‧‧‧外絕緣環
332‧‧‧外邊緣
336‧‧‧間隙
340‧‧‧插入件
344‧‧‧凹槽(溝槽)
348‧‧‧插孔
352‧‧‧切口
700‧‧‧方法
704‧‧‧步驟
708‧‧‧步驟
712‧‧‧步驟
716‧‧‧步驟
720‧‧‧步驟
本揭示內容從實施方式及隨附圖式可更完全了解,其中:
圖1為範例性基板支撐件;
根據本揭露內容,圖2為範例性處理腔室的功能方塊圖;
根據本揭露內容之原理,圖3為包含陶瓷層的範例性基板支撐件;
根據本揭露內容之原理,圖4為包含陶瓷層的範例性基板支撐件的平面圖;
根據本揭露內容之原理,圖5為範例性陶瓷層的平面圖;
根據本揭露內容之原理,圖6為包含陶瓷層的另一範例性基板支撐件;及
根據本揭露內容之原理, 圖7繪示了範例基板處理方法的步驟。
在圖式中,元件符號可被再次使用以辨別相似及/或相同的元件。

Claims (16)

  1. 一種基板支撐件,用以在基板處理系統中支撐基板,該基板支撐件包含: 一底板;及 一陶瓷層,配置在該底板上方,該陶瓷層之外周邊係被一邊緣環所圍繞; 其中該陶瓷層之外半徑係較該邊緣環之內半徑更大,使得該陶瓷層之外邊緣在該邊緣環下方延伸。
  2. 如申請專利範圍第1項之基板支撐件,其中該陶瓷層包含(i) 配置在該基板之上表面中的一環狀凹槽、及(ii) 配置在該環狀凹槽中的一插入件。
  3. 如申請專利範圍第2項之基板支撐件,其中該插入件包含陶瓷。
  4. 如申請專利範圍第2項之基板支撐件,其中該插入件係設置成至少部分在該邊緣環下方。
  5. 如申請專利範圍第2項之基板支撐件,其中該插入件從該邊緣環下方延伸至用以支撐一基板的該陶瓷層的一部分。
  6. 如申請專利範圍第1項的基板支撐件,其中該基板支撐件係建構成支撐300 mm的基板,且其中該陶瓷層具有至少151.5 mm之外半徑。
  7. 如申請專利範圍第1項的基板支撐件,其中該基板支撐件係建構成支撐450 mm的基板,且其中該陶瓷層具有至少226.5 mm之外半徑。
  8. 如申請專利範圍第1項的基板支撐件,其中該基板支撐件係建構成支撐具有d mm直徑的一基板,其中與該基板相關的製造變異為v mm,且其中該陶瓷層的外半徑大於或等於(d + v)/2與一預定偏移之總和。
  9. 一種基板支撐件,用以在基板處理系統中支撐基板,該基板支撐件包含: 一底板; 一陶瓷層,配置在該底板上方;及 一邊緣環,圍繞該陶瓷層之外周邊而配置,其中該陶瓷層之外半徑係較該邊緣環之內半徑更大,使得該陶瓷層之外邊緣在該邊緣環下方延伸,且 其中該陶瓷層包含(i) 配置在該基板之上表面中的一環狀凹槽、及(ii) 配置在該環狀凹槽中的一插入件。
  10. .如申請專利範圍第9項之基板支撐件,其中該插入件包含陶瓷。
  11. 如申請專利範圍第9項之基板支撐件,其中其中該插入件係設置成至少部分在該邊緣環下方。
  12. 如申請專利範圍第9項之基板支撐件,其中該插入件從該邊緣環下方延伸至用以支撐一基板的該陶瓷層的一部分。
  13. 如申請專利範圍第9項之基板支撐件,其中該基板支撐件係建構成支撐300 mm的基板,且其中該陶瓷層具有至少151.5 mm之外半徑。
  14. 如申請專利範圍第9項之基板支撐件,其中該基板支撐件係建構成支撐450 mm的基板,且其中該陶瓷層具有至少226.5 mm之外半徑。
  15. 如申請專利範圍第9項之基板支撐件,其中該基板支撐件係建構成支撐具有d mm直徑的一基板,其中與該基板相關的製造變異為v mm,且其中該陶瓷層的外半徑大於或等於(d + v)/2與一預定偏移之總和。
  16. 一種基板處理方法,包含: 提供一底板; 於該底板上方設置一陶瓷層; 設置一邊緣環,該邊緣環係圍繞該陶瓷層之外周邊而配置,其中該陶瓷層之外半徑係較該邊緣環之內半徑更大,使得該陶瓷層之外邊緣在該邊緣環下方延伸, 其中該陶瓷層包含(i) 配置在該基板之上表面中的一環狀凹槽、及(ii) 配置在該環狀凹槽中的一插入件; 在該陶瓷層上配置一基板;及 在該基板上執行至少一處理步驟。
TW107100004A 2017-01-05 2018-01-02 具有改良的處理均勻性之基板支撐件 TWI783960B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/399,244 2017-01-05
US15/399,244 US10910195B2 (en) 2017-01-05 2017-01-05 Substrate support with improved process uniformity

Publications (2)

Publication Number Publication Date
TW201842620A true TW201842620A (zh) 2018-12-01
TWI783960B TWI783960B (zh) 2022-11-21

Family

ID=62708437

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107100004A TWI783960B (zh) 2017-01-05 2018-01-02 具有改良的處理均勻性之基板支撐件

Country Status (6)

Country Link
US (2) US10910195B2 (zh)
JP (2) JP7266524B2 (zh)
KR (2) KR20220147155A (zh)
CN (1) CN110168714A (zh)
TW (1) TWI783960B (zh)
WO (1) WO2018128707A1 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10910195B2 (en) * 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
CN110462781B (zh) * 2017-03-31 2022-03-11 玛特森技术公司 用于等离子体处理设备的基座组件
WO2018183245A1 (en) * 2017-03-31 2018-10-04 Mattson Technology, Inc. Material deposition prevention on a workpiece in a process chamber
JP7101055B2 (ja) * 2018-06-12 2022-07-14 東京エレクトロン株式会社 静電チャック、フォーカスリング、支持台、プラズマ処理装置、及びプラズマ処理方法
JP7333712B2 (ja) * 2019-06-05 2023-08-25 東京エレクトロン株式会社 静電チャック、支持台及びプラズマ処理装置
KR102325223B1 (ko) * 2019-07-22 2021-11-10 세메스 주식회사 기판 처리 장치
EP4010915A4 (en) * 2019-08-05 2023-12-13 Lam Research Corporation EDGE RING SYSTEMS FOR SUBSTRATE PROCESSING SYSTEMS
JP2021068782A (ja) * 2019-10-21 2021-04-30 東京エレクトロン株式会社 載置台アセンブリ、基板処理装置、及びシール部材
US11749543B2 (en) * 2020-07-06 2023-09-05 Applied Materials, Inc. Chamber matching and calibration
GB202012560D0 (en) * 2020-08-12 2020-09-23 Spts Technologies Ltd Apparatus and method
US20220293397A1 (en) * 2021-03-10 2022-09-15 Applied Materials, Inc. Substrate edge ring that extends process environment beyond substrate diameter
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Family Cites Families (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3752956A (en) 1972-05-03 1973-08-14 Du Pont Electrical resistance heating control circuit
DE3017749A1 (de) 1980-05-09 1981-11-12 Artur Dr.H.C. 7244 Waldachtal Fischer Befestigungsvorrichtung fuer die befestigung von sanitaergegenstaenden
US5059770A (en) 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US6998065B1 (en) 1989-12-28 2006-02-14 Nippon Mitsubishi Oil Corporation Fluid compositions containing refrigerator oils and chlorine-free fluorocarbon refrigerants
US5292399A (en) * 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
US5447570A (en) * 1990-04-23 1995-09-05 Genus, Inc. Purge gas in wafer coating area selection
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
KR100238626B1 (ko) 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
JPH0653149A (ja) 1992-07-31 1994-02-25 Tokyo Electron Ltd 半導体製造装置用シール材
EP0635870A1 (en) 1993-07-20 1995-01-25 Applied Materials, Inc. An electrostatic chuck having a grooved surface
WO1995020838A1 (en) 1994-01-31 1995-08-03 Applied Materials, Inc. Electrostatic chuck with conformal insulator film
US5583736A (en) 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck
JPH08293539A (ja) 1995-04-21 1996-11-05 Hitachi Ltd 半導体製造方法および装置
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
EP0764979A3 (en) 1995-09-20 1998-07-15 Hitachi, Ltd. Electrostatically attracting electrode and a method of manufacture thereof
US6370007B2 (en) 1995-09-20 2002-04-09 Hitachi, Ltd. Electrostatic chuck
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
JPH1079372A (ja) 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US6395363B1 (en) 1996-11-05 2002-05-28 Applied Materials, Inc. Sloped substrate support
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) * 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5978202A (en) 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US6176932B1 (en) 1998-02-16 2001-01-23 Anelva Corporation Thin film deposition apparatus
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
JP4053148B2 (ja) 1998-07-28 2008-02-27 株式会社エフオーアイ プラズマ処理装置
US6639783B1 (en) 1998-09-08 2003-10-28 Applied Materials, Inc. Multi-layer ceramic electrostatic chuck with integrated channel
JP2000130414A (ja) 1998-10-23 2000-05-12 Nakao Seisakusho:Kk ジョイント金具
TW517092B (en) 1999-03-17 2003-01-11 Kobe Steel Ltd High-temperature and high-pressure treatment device
US6462928B1 (en) 1999-05-07 2002-10-08 Applied Materials, Inc. Electrostatic chuck having improved electrical connector and method
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US20020036881A1 (en) 1999-05-07 2002-03-28 Shamouil Shamouilian Electrostatic chuck having composite base and method
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
JP2000323558A (ja) * 1999-05-07 2000-11-24 Nikon Corp 静電吸着装置
EP1061639A2 (en) 1999-06-17 2000-12-20 Applied Materials, Inc. Chucking system amd method
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6839217B1 (en) 1999-10-01 2005-01-04 Varian Semiconductor Equipment Associates, Inc. Surface structure and method of making, and electrostatic wafer clamp incorporating surface structure
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP4522527B2 (ja) 2000-03-06 2010-08-11 キヤノンアネルバ株式会社 半導体製造装置における基板搭載方法
JP5165817B2 (ja) 2000-03-31 2013-03-21 ラム リサーチ コーポレーション 静電チャック及びその製造方法
US6306247B1 (en) 2000-04-19 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for preventing etch chamber contamination
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US6922324B1 (en) 2000-07-10 2005-07-26 Christopher M. Horwitz Remote powering of electrostatic chucks
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6563686B2 (en) * 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
JP4868649B2 (ja) 2001-03-29 2012-02-01 ラム リサーチ コーポレーション プラズマ処理装置
US6693790B2 (en) 2001-04-12 2004-02-17 Komatsu, Ltd. Static electricity chuck apparatus and semiconductor producing apparatus provided with the static electricity chuck apparatus
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
EP1391140B1 (en) 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP2003013340A (ja) 2001-06-28 2003-01-15 Tsudakoma Corp 織機の電動送り出し制御方法
JP3810300B2 (ja) 2001-10-30 2006-08-16 京セラ株式会社 静電チャック
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
KR20040068154A (ko) 2001-11-30 2004-07-30 이비덴 가부시키가이샤 세라믹 히터
US6754062B2 (en) 2002-02-27 2004-06-22 Praxair S.T. Technology, Inc. Hybrid ceramic electrostatic clamp
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6682603B2 (en) 2002-05-07 2004-01-27 Applied Materials Inc. Substrate support with extended radio frequency electrode upper surface
TWI269815B (en) * 2002-05-20 2007-01-01 Tosoh Smd Inc Replaceable target sidewall insert with texturing
US20040045813A1 (en) 2002-09-03 2004-03-11 Seiichiro Kanno Wafer processing apparatus, wafer stage, and wafer processing method
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
JP4247625B2 (ja) 2002-10-25 2009-04-02 Nok株式会社 耐プラズマ用シール
KR100657054B1 (ko) * 2003-01-07 2006-12-13 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 포커스 링
JP2004311837A (ja) * 2003-04-09 2004-11-04 Ngk Insulators Ltd 半導体製造装置用部材とその製造方法
US20050042881A1 (en) 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
US7361865B2 (en) 2003-08-27 2008-04-22 Kyocera Corporation Heater for heating a wafer and method for fabricating the same
TWI488236B (zh) * 2003-09-05 2015-06-11 Tokyo Electron Ltd Focusing ring and plasma processing device
US20050079729A1 (en) 2003-10-08 2005-04-14 Woo-Sung Jang High density plasma oxide film deposition apparatus having a guide ring and a semiconductor device manufacturing method using the same
KR100505035B1 (ko) 2003-11-17 2005-07-29 삼성전자주식회사 기판을 지지하기 위한 정전척
US20070098978A1 (en) 2003-11-21 2007-05-03 Hiroyuki Tanaka Surface-coated sealing material
US7196295B2 (en) 2003-11-21 2007-03-27 Watlow Electric Manufacturing Company Two-wire layered heater system
US8680443B2 (en) 2004-01-06 2014-03-25 Watlow Electric Manufacturing Company Combined material layering technologies for electric heaters
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
KR101098798B1 (ko) 2004-05-26 2011-12-26 쿄세라 코포레이션 히터와 웨이퍼 가열장치 및 히터의 제조방법
WO2006001425A1 (ja) 2004-06-28 2006-01-05 Kyocera Corporation 静電チャック
JP2006086230A (ja) * 2004-09-14 2006-03-30 Hitachi Kokusai Electric Inc 半導体製造装置
TWI281833B (en) 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
US7052553B1 (en) 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US20060273277A1 (en) 2005-06-02 2006-12-07 Heller Mark J Plasma resistant seal assembly with replaceable barrier shield
KR100925033B1 (ko) 2005-06-29 2009-11-04 와틀로 일렉트릭 매뉴팩츄어링 컴파니 스마트 적층형 히터면
US7431788B2 (en) 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US7525787B2 (en) 2005-09-30 2009-04-28 Lam Research Corporation Electrostatic chuck assembly with dielectric material and/or cavity having varying thickness, profile and/or shape, method of use and apparatus incorporating same
US7869184B2 (en) 2005-11-30 2011-01-11 Lam Research Corporation Method of determining a target mesa configuration of an electrostatic chuck
US7651571B2 (en) 2005-12-22 2010-01-26 Kyocera Corporation Susceptor
JP4942471B2 (ja) 2005-12-22 2012-05-30 京セラ株式会社 サセプタおよびこれを用いたウェハの処理方法
JP2007311613A (ja) 2006-05-19 2007-11-29 Hitachi High-Technologies Corp 試料台及びそれを備えたプラズマ処理装置
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP5183058B2 (ja) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
US20080041312A1 (en) 2006-08-10 2008-02-21 Shoichiro Matsuyama Stage for plasma processing apparatus, and plasma processing apparatus
JP5233093B2 (ja) 2006-08-10 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
JP4943086B2 (ja) 2006-08-10 2012-05-30 東京エレクトロン株式会社 静電チャック装置及びプラズマ処理装置
US8741098B2 (en) 2006-08-10 2014-06-03 Tokyo Electron Limited Table for use in plasma processing system and plasma processing system
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US8146902B2 (en) * 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
JP5029089B2 (ja) 2007-03-26 2012-09-19 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
US8108981B2 (en) * 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
JP2009054871A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
TWI459851B (zh) 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
JP4905375B2 (ja) 2008-01-30 2012-03-28 住友電気工業株式会社 ウエハ保持体の支持構造
KR100943427B1 (ko) * 2008-02-04 2010-02-19 주식회사 유진테크 기판지지유닛 및 기판처리장치, 그리고 기판지지유닛을제조하는 방법
JP5284153B2 (ja) 2008-03-21 2013-09-11 日本碍子株式会社 セラミックスヒータ
JP5324251B2 (ja) 2008-05-16 2013-10-23 キヤノンアネルバ株式会社 基板保持装置
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US9543181B2 (en) 2008-07-30 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Replaceable electrostatic chuck sidewall shield
CN102160167B (zh) 2008-08-12 2013-12-04 应用材料公司 静电吸盘组件
JP2010080717A (ja) 2008-09-26 2010-04-08 Tokyo Electron Ltd プラズマ処理装置用の載置台
KR101413764B1 (ko) 2008-10-22 2014-07-02 주식회사 뉴파워 프라즈마 서셉터 어셈블리
US9218997B2 (en) 2008-11-06 2015-12-22 Applied Materials, Inc. Electrostatic chuck having reduced arcing
JP2010129845A (ja) 2008-11-28 2010-06-10 Creative Technology:Kk 静電チャック及びその製造方法
JP2010153730A (ja) 2008-12-26 2010-07-08 Omron Corp 配線構造、ヒータ駆動装置、計測装置および制御システム
JP5262878B2 (ja) 2009-03-17 2013-08-14 東京エレクトロン株式会社 載置台構造及びプラズマ成膜装置
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5218865B2 (ja) 2010-03-26 2013-06-26 Toto株式会社 静電チャック
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
WO2012056808A1 (ja) 2010-10-25 2012-05-03 日本碍子株式会社 セラミックス材料、半導体製造装置用部材、スパッタリングターゲット部材及びセラミックス材料の製造方法
WO2012056807A1 (ja) 2010-10-25 2012-05-03 日本碍子株式会社 セラミックス材料、積層体、半導体製造装置用部材及びスパッタリングターゲット部材
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US10157758B2 (en) 2010-12-27 2018-12-18 Creative Technology Corporation Work heating device and work treatment device
JP5339162B2 (ja) 2011-03-30 2013-11-13 Toto株式会社 静電チャック
US8901459B2 (en) 2011-06-30 2014-12-02 Semes Co. Ltd. Substrate supporting units and substrate treating apparatuses including the same
US8520360B2 (en) 2011-07-19 2013-08-27 Lam Research Corporation Electrostatic chuck with wafer backside plasma assisted dechuck
AU2012301816B2 (en) 2011-08-30 2015-07-09 Watlow Electric Manufacturing Company Method of manufacturing a high definition heater system
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9076831B2 (en) 2011-11-04 2015-07-07 Lam Research Corporation Substrate clamping system and method for operating the same
CN103123906A (zh) 2011-11-18 2013-05-29 中芯国际集成电路制造(北京)有限公司 用于处理晶圆的反应装置、静电吸盘和晶圆温度控制方法
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
JP6100703B2 (ja) 2012-01-26 2017-03-22 京セラ株式会社 静電チャックおよびその製造方法
US9412635B2 (en) 2012-02-08 2016-08-09 Tokyo Electron Limited Electrostatic chuck device
US9682398B2 (en) * 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
CN107527854A (zh) 2012-04-26 2017-12-29 应用材料公司 针对防止静电夹盘的黏接粘合剂侵蚀的方法及设备
JP5823915B2 (ja) 2012-05-29 2015-11-25 新光電気工業株式会社 静電チャックの製造方法
JP5973841B2 (ja) 2012-08-22 2016-08-23 日本特殊陶業株式会社 静電チャックのガス制御装置およびガス制御方法
JP5441019B1 (ja) 2012-08-29 2014-03-12 Toto株式会社 静電チャック
KR102044389B1 (ko) 2012-10-04 2019-11-14 세메스 주식회사 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
JP5633766B2 (ja) 2013-03-29 2014-12-03 Toto株式会社 静電チャック
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US20150024517A1 (en) 2013-07-19 2015-01-22 Texas Instruments Incorporated Plasma etcher chuck band
US10211046B2 (en) 2013-07-19 2019-02-19 Applied Materials, Inc. Substrate support ring for more uniform layer thickness
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
JP6432474B2 (ja) 2014-03-27 2018-12-05 Toto株式会社 静電チャック
JP6442296B2 (ja) * 2014-06-24 2018-12-19 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP6375163B2 (ja) 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
KR20160107415A (ko) 2015-03-03 2016-09-19 현대자동차주식회사 차량용 공조장치
JP6435247B2 (ja) * 2015-09-03 2018-12-05 新光電気工業株式会社 静電チャック装置及び静電チャック装置の製造方法
US10340171B2 (en) 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
US11069553B2 (en) 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
DE102016115614A1 (de) * 2016-08-23 2018-03-01 Aixtron Se Suszeptor für einen CVD-Reaktor
US10910195B2 (en) * 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10741425B2 (en) 2017-02-22 2020-08-11 Lam Research Corporation Helium plug design to reduce arcing
WO2019078182A1 (ja) * 2017-10-16 2019-04-25 日本碍子株式会社 静電チャック

Also Published As

Publication number Publication date
CN110168714A (zh) 2019-08-23
JP2020504452A (ja) 2020-02-06
JP7266524B2 (ja) 2023-04-28
US10910195B2 (en) 2021-02-02
KR20190095495A (ko) 2019-08-14
US20210166914A1 (en) 2021-06-03
US11984296B2 (en) 2024-05-14
TWI783960B (zh) 2022-11-21
WO2018128707A1 (en) 2018-07-12
KR102458699B1 (ko) 2022-10-24
JP2023052492A (ja) 2023-04-11
KR20220147155A (ko) 2022-11-02
US20180190526A1 (en) 2018-07-05

Similar Documents

Publication Publication Date Title
TWI783960B (zh) 具有改良的處理均勻性之基板支撐件
TWI752051B (zh) 用以防止電性發弧與點火並改善製程均勻性之具有特徵部的靜電夾頭
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
US20190244793A1 (en) Tapered upper electrode for uniformity control in plasma processing
TWI796249B (zh) 可運動的邊緣環設計
TWI737686B (zh) 包含具有高純度sp3 鍵之化學氣相沉積鑽石塗層的電漿處理系統用之邊緣環等元件
TWI827654B (zh) 用於基板處理系統之侷限環與在基板處理系統中使用侷限環的方法
CN114008738B (zh) 用于衬底处理系统的缩小直径承载环硬件
WO2018165292A1 (en) Boltless substrate support assembly
JP2023527503A (ja) 基板処理システムのための高精度エッジリングのセンタリング