CN100401852C - 用于控制工件支架表面上空间温度分布的方法与装置 - Google Patents

用于控制工件支架表面上空间温度分布的方法与装置 Download PDF

Info

Publication number
CN100401852C
CN100401852C CNB028119460A CN02811946A CN100401852C CN 100401852 C CN100401852 C CN 100401852C CN B028119460 A CNB028119460 A CN B028119460A CN 02811946 A CN02811946 A CN 02811946A CN 100401852 C CN100401852 C CN 100401852C
Authority
CN
China
Prior art keywords
temperature
workpiece
heat insulator
pedestal
flat support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB028119460A
Other languages
English (en)
Other versions
CN1529994A (zh
Inventor
尼尔·本杰明
罗伯特·斯蒂格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/062,395 external-priority patent/US6847014B1/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1529994A publication Critical patent/CN1529994A/zh
Application granted granted Critical
Publication of CN100401852C publication Critical patent/CN100401852C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Abstract

本发明揭示一种用于一等离子处理机的卡盘,其包括一温控基座(302)、一热绝缘体(304)、一平支架(306)以及一加热器(308)。该温控基座(302)的温度低于一工件(310)的需要温度。热绝缘体(304)装设于温控基座(302)之上。平支架(306)夹持一工件(310)且其装设于热绝缘体(304)之上。一加热器(308)埋置于平支架内及/或装设于该平支架的一底面上。该加热器包括可加热多个对应加热分区的多个加热元件。每一加热元件的电源及/或温度是独立控制的。

Description

用于控制工件支架表面上空间温度分布的方法与装置
技术领域
本发明涉及基材支架,更具体而言,本发明涉及一种用于在等离子处理过程中实现一基材内均匀温度分布的方法与装置。
背景技术
一典型的等离子蚀刻装置包括一反应器,在该反应器中设有一反应性气体可穿过的反应室。在该反应室内,气体通常被射频能量电离成等离子。等离子气体中的高反应性离子能够与材料发生反应,例如与一正被加工成集成电路(IC)的半导体晶圆表面上的一聚合物掩膜发生反应。在蚀刻之前,晶圆被放置于该反应室内并由一可将晶圆顶面暴露于等离子气体中的夹盘或夹具固定于正确位置。此项技术中已知有多种型式的夹盘(有时也称作“基座”)。夹盘可提供一等温表面,并可用作晶圆的散热器。在一种型式的夹盘中,通过机械夹持方法将一半导体晶圆固定就位进行蚀刻;在另一种型式的夹盘中,夹盘与晶圆之间的一电场形成的静电力将一半导体晶圆固定就位。本发明对这两种型式的夹盘均适用。
在一典型的等离子蚀刻作业中,等离子气体中的反应性离子与半导体晶圆一表面上材料的多个部分发生化学反应。某些工序会导致对晶圆产生某种程度的加热,但大部分加热是由等离子引起的。另一方面,晶圆的温度升高会在某种程度上加速气体(离子与原子团)与晶圆材料之间的化学反应。局部晶圆温度与晶圆上每一微观点处的化学反应速度之间存在如下关系:如果晶圆整个面积上的温度变化过大,则易导致在对晶圆一表面上的材料进行蚀刻时出现有害的不均匀性。在大多数情况下,人们极期望蚀刻达到一近乎完美的均匀程度,因为否则所制造的集成电路器件(ICs)所具有的电子特性会偏离所期望的技术规范。
另外,随着晶圆直径尺寸的每一次加大,更难保证由越来越大的晶圆制造的每一批ICs保持均匀性。在某些其他情况下,最好能够控制晶圆的表面温度以获得定制分布。
在反应性离子蚀刻(RIE)过程中晶圆温度升高问题已为人们所熟知,并且过去曾进行过各种控制蚀刻过程中晶圆温度的尝试。图1显示一种在RIE过程中控制晶圆温度的方法,其以单一压力将一冷却气体(例如氦)导入晶圆104底部与夹持晶圆104的夹盘106顶部之间的一单一薄空间102内。
除了为减少冷却剂泄漏而在卡盘106的外边缘处设置一外伸约1-5mm的平滑密封带外,通常在卡盘圆周处不装设O形圈或其他边缘密封件。在无任何弹性密封件的情况下,密封带两侧不可避免地会出现显著且渐进的压力损失,从而使晶圆104的边缘不能得到充分冷却。因此,冲击晶圆104边缘附近的热量在被有效传导至夹盘之前必定大量向内径向流动。晶圆104上方的箭头108表示加热晶圆的输入热通量。晶圆104内的热量流动用箭头110表示。该图解释了卡盘边缘区往往总比其余表面区域热的原因。图2显示晶圆104上一典型温度分布。晶圆104周边区域的压力损失导致晶圆104周边区域非常热。
对待分区冷却需要的一种方法是改变表面粗糙度或切割一凹凸图案以有效改变局部接触面积。该方案的采用可完全不需用背面冷却气体,在该种情况下,由接触面积、表面粗糙度及夹持力决定热传导。但是,局部接触面积的调整必须通过对卡盘的再机加工方可实现。对待分区冷却需要的另一种方法是使用压力可变的冷却气体以增加及精调传热,而凹凸图案仍基本不变。通过将卡盘表面分成不同的区域(可设置或不设置小的密封带作为隔离物)并向每个分区提供单独的冷却气体,可以实现更大程度上的独立空间控制。向每一分区提供的气体可以具有不同的成份或设置在不同的压力,藉此改变热传导。每一分区的工作条件可以在配方控制下设置,或甚至可以在每一工艺步骤过程中实现动态稳定。该些方案依赖于对来自等离子的进入热通量的再分配及将其抽到不同的区域。当功率通量高时,其相对有效;但是,当功率通量较低时,其仅能产生小的温差。例如,在约1W/cm2均匀通量和约3mm密封带的情况下,可能会得到一能使晶圆周边附近温度增高10-30℃的中心至边缘热梯度。该数量级的热梯度作为一过程控制参数是极为有效的。但是,其他一些工艺可能在低功率下运行,例如,多栅极工艺,其可能仅具有0.2W/cm2的功率通量。除非使平均热传导变得极低以至于控制极为困难且趋于导致整体冷却不充分,否则将仅有一通常小于5℃的极小温差。
因此,业内需求一种用于在反应性离子蚀刻及类似工艺过程中不需高等离子热通量即可控制半导体晶圆温度的方法及装置。本发明的一个主要目的是解决上述需求并提供其它的相关优点。
发明内容
本发明揭示一种用于一等离子处理机的卡盘,其包括一温控基座、一热绝缘体、一平支架及一加热器。该温控基座的温度低于工件需用温度;该热绝缘体装设于该温控基座上;该平支架夹持一工件且装设于该热绝缘体上。一加热器埋置于该平支架内及/或装设于该平支架的一底面上。该加热器包括可加热多个对应加热分区的加热元件,每一加热元件的电源及/或温度是独立控制的。
根据本发明的第一方面,提供了一种用于等离子处理机的卡盘,所述卡盘包括:其温度低于工件需用温度的温控基座;装设于所述基座上的热绝缘体,该热绝缘体具有范围为0.05W/mK至0.20W/mK的热导率;用于夹持所述工件的平支架,所述平支架装设于所述热绝缘体上;及埋置于所述平支架内的加热器。
根据本发明的第二方面,提供了一种用于等离子处理机的卡盘,所述卡盘包括:其温度低于工件需用温度的温控基座;装设于所述基座上的热绝缘体,该热绝缘体具有范围为0.05W/mK至0.20W/mK的热导率;用于夹持所述工件的平支架,所述平支架装设于所述热绝缘体上;及装设于所述平支架底面上的加热器。
根据本发明的第三方面,提供了一种用于控制具有多个分区的工件上的温度的方法,所述方法包括:提供维持于恒温的基座,所述恒温低于该工件的温度,所述基座具有安装于所述基座顶面上的热绝缘体,该热绝缘体具有范围为0.05W/mK至0.20W/mK的热导率;将工件压抵于平支架的顶面上,所述平支架安装于所述热绝缘体上;及使用装设于所述平支架内的加热器独立加热该工件的每一分区。
根据本发明的第四方面,提供了一种用于控制具有多个分区的工件上的温度的方法,所述方法包括:提供维持于恒温的基座,所述恒温低于该工件的温度,所述基座具有安装于所述基座顶面上的热绝缘体,该热绝缘体具有范围为0.05W/mK至0.20W/mK的热导率;将工件压抵于平支架的顶面上,所述平支架安装于所述热绝缘体上;及使用装设于所述平支架底面上的加热器独立加热该工件的每一分区。
根据本发明的第五方面,提供了一种用于控制具有多个分区的工件上的温度的装置,所述装置包括:用于提供维持于恒温的基座的构件,所述恒温低于该工件的温度,所述基座具有安装于所述基座上的热绝缘体,该热绝缘体具有范围为0.05W/mK至0.20W/mK的热导率;用于将该工件压抵于支架的顶面上的构件,所述支架安装于所述热绝缘体上;及用于加热所述支架的构件。
根据本发明的第六方面,提供了一种用于控制具有多个分区的工件上的温度的装置,所述装置包括:用于提供维持于恒温的基座的构件,所述恒温低于该工件的温度,所述基座具有安装于所述基座上的热绝缘体,该热绝缘体具有范围为0.05W/mK至0.20W/mK的热导率;用于将该工件压抵于支架的顶面上的构件,所述支架安装于所述热绝缘体上;及用于加热所述支架底面的构件。
附图说明
并入并构成本说明书一部分的附图显示本发明的一或多个实施例,并与具体实施方式共同阐释本发明的原理及实施方案。
图1是一现有技术支架夹持一处于加工中的晶圆的立面示意图。
图2是一显示图1所示现有技术装置中晶圆温度与冷却剂压力之间的关系曲线图。
图3A是一显示根据本发明一实施例的一用于控制工件温度的装置的立面示意图。
图3B是一显示根据本发明另一实施例的一用于控制工件温度的装置的立面示意图。
图3C是一显示图3A所示装置中热流动态的简化示意图。
图4A是一立面示意图,其显示根据本发明另一实施例组合有单平面层电极及用于控制一工件温度的加热器的一装置。
图4B是一俯视示意图,其显示根据本发明另一实施例组合有单平面层电极及用于控制一工件温度的加热器的一装置。
图5是一立面示意图,其显示根据本发明的再一替代实施例使用一横向热阻断方法控制一工件温度的一装置。
图6是一显示一根据本发明一实施例用于控制一卡盘温度的方法的流程图。
图7是一显示一根据本发明一实施例用于控制一卡盘温度的系统的示意图。
具体实施方式
本文根据一种用于控制一工件支架表面空间温度分布的方法与装置来介绍本发明的各实施例。所属技术领域的普通技术人员应认识到:下述本发明具体实施方式仅为示例性而决无任何限定意义。获益于本说明所揭示内容的上述技术人员可容易地构想出本发明的其他实施例。现在将详细论及附图所示的本发明具体实施方式。在所有附图及下文的详细介绍中将采用相同的参考标号来表示同一或类似部件。
为明晰起见,未图示及说明本说明书所述具体实施方式的所有常规特征。当然,应认识到:在任一此类实际实施方式的开发过程中,必须做出大量针对具体实施方式的决定,以达到开发者的具体目标,例如符合与应用及商业相关的限制条件,并且该些具体目标会因实施方式的不同而不同且也会因开发者的不同而不同。况且,应认识到:此类开发工作可能比较复杂且耗费时间,然而,其对于获益于本说明书所揭示内容的所属技术领域的普通技术人员,则会是一项常规工程设计工作。
本发明的装置力求实现精确而显著的温差(例如大于5℃的温差)控制而不需要高等离子热通量,例如小于2W/cm2。图3A是一立面示意图,其显示一根据本发明一实施例用于控制一工件温度的装置。一基座302或一热交换器支承一热绝缘体304;一较佳呈扁平状的支架306安装于热绝缘体304上;一加热器308埋置于该支架306内;一工件310(例如一晶圆)放置于支架306上。一热导体312提供支架306与工件310之间的紧密热接触;热导体312较佳为一气体,例如氦气。氦气的压力控制工件310与支架306之间的热传导。
根据本发明的一实施例,基座302包含一金属材料,较佳一铝基冷却板,该基座通过一常规热交换系统(例如一冷却/加热流体环路)维持在一相对恒温。根据本发明的另一实施例,该基座302也可以包含一非金属材料,例如硝酸铝。但是,基座302的冷冻程度必须大于无加热器308的标准作业中的冷冻程度。例如,基座302的温度可以比工件310的需用温度低10℃至50℃。基座302也为等离子加热提供一散热器。一外部冷却剂冷冻器(未示出)可用以维持基板的温度,较佳将该外部冷却剂冷冻器带走的热量及冷却剂的温度分别限制为小于2000W和-20℃。基座302进一步设有多个用于布设加热器电力线路312或其他辅助线路的孔或空腔(未示出)。此等辅助线路可包括用于加热器、传感器及高压静电夹具的电力线路。所属技术领域的普通技术人员应认识到辅助线路不限于上述列举项。
根据本发明的一实施例,热绝缘体304可用作支架306与基座302之间的重要热阻抗隔断。热绝缘体304可包含一由聚合物、塑料或陶瓷制成的厚RTV粘结层。然而,热绝缘体304的热阻抗隔断作用不应过大,否则晶圆310将得不到充分冷却。例如,热绝缘体的热导率较佳介于约0.05W/mK至约0.20W/mK范围内。在本例中,热绝缘体304既作为热阻性元件又作为支架306与基座302之间的粘合剂。并且,热绝缘体304须使等离子与基座304之间保持足够的RF耦合。同时,热绝缘体304必须能够承受由于该层上下不同材料与温度所造成的显著热-机械剪切。根据本发明的一实施例,热绝缘体304的厚度应小于2mm。热绝缘体304可进一步包含多个与基座304的空腔连接的空腔或通路(未示出),以容纳部分加热器电力线路312及其他辅助线路。
根据本发明的一实施例,支架306包含一陶瓷材料。该陶瓷可以是一非导电性材料,例如氧化铝。支架306的型式可较佳包含一通常用于等离子蚀刻系统的常规盘。工件306可以是一常规静电卡盘或是一具有用于压持晶圆310的机械夹具的陶瓷制品。根据本发明的一实施例,支架306的厚度为约2mm。但是,所属技术领域的技术人员应认识到,其他厚度也可能是适合的。根据本发明的另一实施例,支架306的构造为一“粘结于一基座的薄盘”型式,否则会造成横向热传导过高,致使加热器的输入横向散播,从而导致无效的区域分隔。该支架应使热量得以在局部散逸。
加热器308包含至少一个电阻性元件。根据本发明的一实施例,加热器308可埋置于支架306内夹盘电极平面下方,且可构造成任何需要的型式,例如,对称型或任意型。加热器308也可以具有一个或多个平面加热元件,每一加热元件界定一可独立控制的加热分区或区域。多分区型式具有一个或多个其作用与对支架306的传导冷却相反的平面加热元件。每一加热分区配备的传感器309可以测量每一加热分区的温度并发送信号至一控制器或计算机系统(参见图7),以监视并控制每一分立平面加热元件。例如,可以通过孔安装一诸如红外辐射传感器或热电偶传感器直接从工件310读取数据。传感器309也可以安装在支架306内或其背面。加热器308可由通过热绝缘体304及基座302内的开孔布设的电力线路312供电。
根据本发明的一实施例,加热器308包含一感应加热器。根据本发明另一实施例,加热器308包含一加热灯,例如氪灯或石英灯。根据本发明再一实施例,加热器308包含可冷却或加热的热电模块。若装设有热电模块,则一基座与一热隔断可成为可选项。所属技术领域的技术人员应认识到,加热支架306存在多种其他方式。
图3B显示本发明的另一实施例。在图3B中,加热器308包含蚀刻箔技术,例如薄膜加热器。加热器308可以埋置于工件支架306内或安装在工件支架306的背面上(未示出)。可在热绝缘体304与支架306之间以及热绝缘体304与基座302之间各敷设一粘结剂(例如聚合物)层(未示出),每一层的厚度为(例如)约0.003″。
图3C显示图3A所示装置内热流动态简化示意图。进入的等离子热通量Q1导致晶圆310表面上产生温度T1;加热器308向晶圆310提供额外热量Q3;通过工件支架306离开系统到达经冷却基座302的热通量Q2约等于进入热通量Q1与Q3两者之和。因此:
Q1+Q3≈Q2
根据定义,晶圆温度T1与贯穿热绝缘体304的温度ΔT之和等于经冷却基座302的温度T1:
T1=T2+ΔT
应注意,ΔT由热绝缘体304的热导率定义。加热器308产生的进入热通量Q3由此控制着ΔT。因此,可调节加热器308的功率,以相对于Q1范围在晶圆表面上产生一所需温度T1。
较佳将基座302的温度设定为:当无进入热通量Q1且热通量Q3的最大值约等于热通量Q1的最大值时,其可产生一约为进入热通量Q3最大值一半的导出热通量Q2:
Q2≈1/2Q3max
当Q1=0且Q3max≈Q1max
在该较佳方案中,T1可变动的范围被最大化。也就是说,晶圆的局部温度可通过控制加热器308的一分区的加热功率来进行调节。根据本发明的一实施例,基座302的温度,即冷却剂温度,被设定为比Q1最大值与Q3最大值之和等于Q2最大值的一常规装置低约20℃。
现请参见图4A,图中所示为根据本发明另一实施例将一单平面层电极及用于控制一工件表面温度的加热器组合使用的装置的立面示意图。一基座402支承一热绝缘体404,一平支架406安装在热绝缘体404上。根据本发明的一实施例,平支架406包含均用作工件加热器的一内部螺旋管408与一外部螺旋管410,以及一用于夹紧工件的电极。加热器与电极组合在一起形成一单层平面结构,表现为平支架406。图4B显示该平支架406的一顶面俯视图。在内部螺旋管408与外部螺旋管410之间施加差分高压HV412即可形成平支架406的静电夹持功能。如果将差分高压HV412施加于内部螺旋管408及外部螺旋管410两者与地之间,则平支架406可用作一单极卡盘。第一受控电源414连接至内部螺旋管408以产生一第一加热分区;第二受控电源416连接至外部螺旋管410以产生一第二加热分区。
图5是一根据本发明的另一替代实施例利用一横向热隔断控制工件温度的一装置的立面示意图。可使用一双管或多管集管式散热器循环温度受控的流体,以取代使用直接电加热或不同温度的冷却剂。一温控基座502支承一热绝缘体504,例如陶瓷。一平支架506对工件508提供支承。热绝缘体510按方位将基座502分成两个或多个分区,每一分区代表一散热器。箭头表示不同的散热分区。特别是,横向热隔断510将散热器分成两个或多个热分区,例如T1和T2。每一热分区的温度可以通过控制各每一流体环路内的流体温度来独立控制。采用此种热隔断510可以实现任意空间分区。
图6显示一种根据本发明另一实施例用于控制卡盘温度的方法的流程图。特别是,图6显示一种用于控制一具有两个不同热分区的卡盘的温度的方法。所属技术领域的普通技术人员应认识到,该方法可应用于一具有一个或多个热分区的卡盘。在第一方块602中,使用第一组传感器测量第一分区的温度。在方块604中,依据该等测量值控制影响该第一分区温度的一加热元件的功率,以将该第一分区的温度调节至由一用户及/或一计算机设定的一温度。在第二方块606中,使用第二组传感器测量第二分区的温度,在方块608中,依据该等测量值控制影响该第二分区温度的一加热元件的功率,以将该第二分区的温度调节至由一用户及/或一计算机设定的一温度。
图7是一根据本发明一实施例用于控制卡盘温度的系统的示意图。一用户702可定义一组参数输入一计算机704。该组参数可以是(例如)卡盘上一第一分区需要的温度以及卡盘上一第二分区需要的温度。所属技术领域的普通技术人员应认识到,该卡盘可以具有一个或多个分区。计算机704与一存储图6所示算法以及计算机704的输入及输出的存储组件706联系。第一组传感器708测量卡盘上的第一分区;第二组传感器710测量卡盘上的第二分区。依据第一组传感器708所测得的温度测量值,计算机704向第一组加热组件发送控制指令以调节卡盘上第一分区的温度。依据第二组传感器710所测得的温度测量值,计算机704向第二组加热组件发送控制指令以调节卡盘上第二分区的温度。
上述该等用于控制一静电卡盘上一晶圆温度分布的通用方法不仅适用于一电感耦合等离子(ICP)处理机,并且也适用于任何其他系统应用,特别是需要向晶圆施加一低等离子功率通量的系统。本技术可适用于任何需要产生热梯度的其他应用。
尽管已对本发明的实施例与应用予以展示和介绍,但获益于本发明揭示内容的所属技术领域的技术人员显然得出除上述修改之外的更多的修改且不背离本文的发明概念。因此,本发明仅受限于后附权利要求书中的精神。

Claims (18)

1.一种用于等离子处理机的卡盘,所述卡盘包括:
其温度低于工件需用温度的温控基座;
装设于所述基座上的热绝缘体,该热绝缘体具有范围为0.05W/mK至0.20W/mK的热导率;
用于夹持所述工件的平支架,所述平支架装设于所述热绝缘体上;及
埋置于所述平支架内的加热器。
2.根据权利要求1所述的卡盘,其进一步包括装设于所述平支架与所述工件之间的热导体。
3.根据权利要求1所述的卡盘,其中所述加热器进一步包括塑料薄膜加热器。
4.根据权利要求1所述的卡盘,其进一步包括敷设于所述热绝缘体与所述支架以及所述热绝缘体与所述温控基座之间的粘结层。
5.根据权利要求1所述的卡盘,其中所述加热器进一步包括多个平面加热元件。
6.根据权利要求5所述的卡盘,其中所述多个平面加热元件在所述工件上形成对应的多个加热分区。
7.根据权利要求6所述的卡盘,其中每平面加热元件的功率独立控制。
8.根据权利要求7所述的卡盘,其进一步包括对应于所述多个加热分区的多个传感器,每一传感器测量并发送反映每一加热分区温度的信号。
9.根据权利要求8所述的卡盘,其进一步包括用于自所述传感器接收所述信号且用于依据每一加热分区的设定值调节每一平面加热元件功率的控制器。
10.一种用于等离子处理机的卡盘,所述卡盘包括:
其温度低于工件需用温度的温控基座;
装设于所述基座上的热绝缘体,该热绝缘体具有范围为0.05W/mK至0.20W/mK的热导率。
用于夹持所述工件的平支架,所述平支架装设于所述热绝缘体上;及
装设于所述平支架底面上的加热器。
11.一种用于控制具有多个分区的工件上的温度的方法,所述方法包括:
提供维持于恒温的基座,所述恒温低于该工件的温度,所述基座具有安装于所述基座顶面上的热绝缘体,该热绝缘体具有范围为0.05W/mK至0.20W/mK的热导率;
将工件压抵于平支架的顶面上,所述平支架安装于所述热绝缘体上;及
使用装设于所述平支架内的加热器独立加热该工件的每一分区。
12.根据权利要求11所述的方法,其进一步包括使用每一分区的传感器监视多个分区的温度。
13.根据权利要求12所述的方法,其进一步包括依据所述监视来调节每一分区的温度。
14.一种用于控制具有多个分区的工件上的温度的方法,所述方法包括:
提供维持于恒温的基座,所述恒温低于该工件的温度,所述基座具有安装于所述基座顶面上的热绝缘体,该热绝缘体具有范围为0.05W/mK至0.20W/mK的热导率;
将工件压抵于平支架的顶面上,所述平支架安装于所述热绝缘体上;及
使用装设于所述平支架底面上的加热器独立加热该工件的每一分区。
15.根据权利要求14所述的方法,其进一步包括使用每一分区的传感器监视该多个分区的温度。
16.根据权利要求14所述的方法,其进一步包括依据所述监视来调节每一分区的温度。
17.一种用于控制具有多个分区的工件上的温度的装置,所述装置包括:
用于提供维持于恒温的基座的构件,所述恒温低于该工件的温度,所述基座具有安装于所述基座上的热绝缘体,该热绝缘体具有范围为0.05W/mK至0.20W/mK的热导率;
用于将该工件压抵于支架的顶面上的构件,所述支架安装于所述热绝缘体上;及
用于加热所述支架的构件。
18.一种用于控制具有多个分区的工件上的温度的装置,所述装置包括:
用于提供维持于恒温的基座的构件,所述恒温低于该工件的温度,所述基座具有安装于所述基座上的热绝缘体,该热绝缘体具有范围为0.05W/mK至0.20W/mK的热导率;
用于将该工件压抵于支架的顶面上的构件,所述支架安装于所述热绝缘体上;及
用于加热所述支架底面的构件。
CNB028119460A 2001-04-30 2002-04-23 用于控制工件支架表面上空间温度分布的方法与装置 Expired - Lifetime CN100401852C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US84643201A 2001-04-30 2001-04-30
US09/846,432 2001-04-30
US10/062,395 2002-02-01
US10/062,395 US6847014B1 (en) 2001-04-30 2002-02-01 Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2008101004131A Division CN101335186B (zh) 2001-04-30 2002-04-23 用于控制工件支架表面上空间温度分布的方法与装置

Publications (2)

Publication Number Publication Date
CN1529994A CN1529994A (zh) 2004-09-15
CN100401852C true CN100401852C (zh) 2008-07-09

Family

ID=26742208

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028119460A Expired - Lifetime CN100401852C (zh) 2001-04-30 2002-04-23 用于控制工件支架表面上空间温度分布的方法与装置

Country Status (6)

Country Link
US (3) US7274004B2 (zh)
EP (1) EP1391140B1 (zh)
JP (1) JP4549022B2 (zh)
CN (1) CN100401852C (zh)
TW (1) TWI267160B (zh)
WO (1) WO2002089531A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102237261A (zh) * 2010-04-29 2011-11-09 Ev集团有限责任公司 从基板表面松动聚合物层的设备和方法

Families Citing this family (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
WO2002089531A1 (en) 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
KR100904361B1 (ko) 2003-03-28 2009-06-23 도쿄엘렉트론가부시키가이샤 기판의 온도제어방법 및 시스템
US20040222210A1 (en) * 2003-05-08 2004-11-11 Hongy Lin Multi-zone ceramic heating system and method of manufacture thereof
JP4686996B2 (ja) * 2004-03-30 2011-05-25 住友電気工業株式会社 加熱装置
US7731798B2 (en) * 2004-12-01 2010-06-08 Ultratech, Inc. Heated chuck for laser thermal processing
US8038796B2 (en) 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
JP4672597B2 (ja) * 2005-06-02 2011-04-20 日本碍子株式会社 基板処理装置
US7525787B2 (en) * 2005-09-30 2009-04-28 Lam Research Corporation Electrostatic chuck assembly with dielectric material and/or cavity having varying thickness, profile and/or shape, method of use and apparatus incorporating same
US7956310B2 (en) * 2005-09-30 2011-06-07 Tokyo Electron Limited Stage, substrate processing apparatus, plasma processing apparatus, control method for stage, control method for plasma processing apparatus, and storage media
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7838800B2 (en) 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
JP5203612B2 (ja) * 2007-01-17 2013-06-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5414172B2 (ja) 2007-12-05 2014-02-12 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
JP5171584B2 (ja) * 2008-03-26 2013-03-27 株式会社日立国際電気 基板処理装置の基板載置台、基板処理装置及び半導体デバイスの製造方法
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP5193886B2 (ja) * 2009-01-14 2013-05-08 株式会社巴川製紙所 静電チャック装置の補修方法および補修装置、ならびに静電チャック装置
JP5705133B2 (ja) * 2009-02-04 2015-04-22 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 静電チャックシステムおよび基板表面に亘って温度プロファイルを半径方向に調整するための方法
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
SG180882A1 (en) * 2009-12-15 2012-07-30 Lam Res Corp Adjusting substrate temperature to improve cd uniformity
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
JP5423632B2 (ja) * 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
US8410393B2 (en) 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101843609B1 (ko) 2011-03-04 2018-05-14 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US8552346B2 (en) * 2011-05-20 2013-10-08 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in an process chamber
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
US8677586B2 (en) 2012-04-04 2014-03-25 Lam Research Corporation Installation fixture for elastomer bands and methods of using the same
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US8937800B2 (en) * 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
JP5992388B2 (ja) * 2012-12-03 2016-09-14 日本碍子株式会社 セラミックヒーター
US10177014B2 (en) * 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10085585B2 (en) * 2013-02-21 2018-10-02 Rain Mountain, Llc System and methods of improving the performance, safety and energy efficiency of a cooking appliance
US9677772B2 (en) 2013-02-21 2017-06-13 Rain Mountain, Llc Intelligent ventilating safety range hood control system
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140251214A1 (en) * 2013-03-06 2014-09-11 Applied Materials, Inc. Heated substrate support with flatness control
CN104112638B (zh) * 2013-04-22 2017-07-18 中微半导体设备(上海)有限公司 一种等离子体反应室及其静电夹盘
US9502279B2 (en) 2013-06-28 2016-11-22 Lam Research Corporation Installation fixture having a micro-grooved non-stick surface
DE102014202302B4 (de) * 2013-07-03 2015-02-19 Technische Universität Dresden Vorrichtung zum Beheizen von Vorformkörpern
SG11201510784RA (en) * 2013-07-04 2016-02-26 Ev Group E Thallner Gmbh Method and device for treating a substrate surface
CN104637838B (zh) * 2013-11-15 2018-06-26 中微半导体设备(上海)有限公司 一种半导体处理装置
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9583377B2 (en) 2013-12-17 2017-02-28 Lam Research Corporation Installation fixture for elastomer bands
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
JP6240532B2 (ja) * 2014-02-27 2017-11-29 東京エレクトロン株式会社 静電チャックの温度制御方法
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6265841B2 (ja) * 2014-06-11 2018-01-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の運用方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10002782B2 (en) 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10386821B2 (en) * 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10381248B2 (en) 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017060259A1 (en) * 2015-10-06 2017-04-13 Asml Holding N.V. Chucks and clamps for holding objects of a lithographic apparatus and methods for controlling a temperature of an object held by a clamp of a lithographic apparatus
US10154542B2 (en) 2015-10-19 2018-12-11 Watlow Electric Manufacturing Company Composite device with cylindrical anisotropic thermal conductivity
CN106935468A (zh) * 2015-12-31 2017-07-07 中微半导体设备(上海)有限公司 一种半导体处理器及用于半导体处理器的多区控温加热器
US10582570B2 (en) * 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6767833B2 (ja) * 2016-09-29 2020-10-14 日本特殊陶業株式会社 加熱装置
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6392961B2 (ja) * 2017-09-13 2018-09-19 日本特殊陶業株式会社 静電チャック
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
CN110911332B (zh) * 2018-09-14 2022-11-25 北京北方华创微电子装备有限公司 静电卡盘
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210039825A (ko) * 2019-10-02 2021-04-12 삼성전자주식회사 기판 증착장치 및 이를 구비하는 기판 증착 시스템
US11551951B2 (en) 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
US11688615B2 (en) * 2020-08-19 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for heating semiconductor wafers
US20220415635A1 (en) * 2021-06-25 2022-12-29 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US5192849A (en) * 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2152126A (en) * 1936-10-02 1939-03-28 John Wentworth Heating device
US3634740A (en) * 1970-04-20 1972-01-11 Addressograph Multigraph Electrostatic holddown
US4361749A (en) * 1980-02-04 1982-11-30 Western Electric Co., Inc. Uniformly cooled plasma etching electrode
US4518848A (en) * 1981-05-15 1985-05-21 Gca Corporation Apparatus for baking resist on semiconductor wafers
JPH079887B2 (ja) * 1985-05-22 1995-02-01 三洋電機株式会社 液相エピタキシヤル成長方法
US5001594A (en) * 1989-09-06 1991-03-19 Mcnc Electrostatic handling device
CA2031912A1 (en) * 1989-12-22 1991-06-23 Robert Fred Pfost Heated cover device
JP3238925B2 (ja) * 1990-11-17 2001-12-17 株式会社東芝 静電チャック
JPH04196528A (ja) * 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
US5580607A (en) * 1991-07-26 1996-12-03 Tokyo Electron Limited Coating apparatus and method
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
KR100238626B1 (ko) * 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
JP2906006B2 (ja) * 1992-10-15 1999-06-14 東京エレクトロン株式会社 処理方法及びその装置
US5413360A (en) * 1992-12-01 1995-05-09 Kyocera Corporation Electrostatic chuck
US5460684A (en) * 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
TW277139B (zh) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
JP2647799B2 (ja) * 1994-02-04 1997-08-27 日本碍子株式会社 セラミックスヒーター及びその製造方法
JP3208029B2 (ja) * 1994-11-22 2001-09-10 株式会社巴川製紙所 静電チャック装置およびその作製方法
US6133557A (en) * 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
US5854468A (en) * 1996-01-25 1998-12-29 Brooks Automation, Inc. Substrate heating apparatus with cantilevered lifting arm
JP3537269B2 (ja) * 1996-05-21 2004-06-14 アネルバ株式会社 マルチチャンバースパッタリング装置
WO1998005060A1 (en) * 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
US6080215A (en) * 1996-08-12 2000-06-27 3M Innovative Properties Company Abrasive article and method of making such article
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6022587A (en) * 1997-05-13 2000-02-08 Applied Materials, Inc. Method and apparatus for improving film deposition uniformity on a substrate
US5978202A (en) * 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
JP3318514B2 (ja) * 1997-08-06 2002-08-26 日本碍子株式会社 半導体支持装置
US6102909A (en) * 1997-08-26 2000-08-15 Ethicon, Inc. Scissorlike electrosurgical cutting instrument
JP3400692B2 (ja) * 1997-11-05 2003-04-28 東京エレクトロン株式会社 ウエハ温度制御装置及びウエハ収納室
JP3368461B2 (ja) * 1997-11-05 2003-01-20 東京エレクトロン株式会社 シェル
EP0915499B1 (en) * 1997-11-05 2011-03-23 Tokyo Electron Limited Semiconductor wafer holding apparatus
JP3477062B2 (ja) 1997-12-26 2003-12-10 京セラ株式会社 ウエハ加熱装置
US6020262A (en) * 1998-03-06 2000-02-01 Siemens Aktiengesellschaft Methods and apparatus for chemical mechanical planarization (CMP) of a semiconductor wafer
US6259592B1 (en) * 1998-11-19 2001-07-10 Applied Materials, Inc. Apparatus for retaining a workpiece upon a workpiece support and method of manufacturing same
KR100593627B1 (ko) * 1999-02-16 2006-06-28 동경 엘렉트론 주식회사 처리장치, 처리시스템, 판별방법 및 검출방법
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP2001203257A (ja) * 2000-01-20 2001-07-27 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体
US6472643B1 (en) * 2000-03-07 2002-10-29 Silicon Valley Group, Inc. Substrate thermal management system
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
WO2002089531A1 (en) 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6770852B1 (en) 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US5192849A (en) * 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102237261A (zh) * 2010-04-29 2011-11-09 Ev集团有限责任公司 从基板表面松动聚合物层的设备和方法
CN102237261B (zh) * 2010-04-29 2015-10-21 Ev集团有限责任公司 从基板表面松动聚合物层的设备和方法

Also Published As

Publication number Publication date
WO2002089531A1 (en) 2002-11-07
CN1529994A (zh) 2004-09-15
JP4549022B2 (ja) 2010-09-22
EP1391140A1 (en) 2004-02-25
US8536494B2 (en) 2013-09-17
US8921740B2 (en) 2014-12-30
US7274004B2 (en) 2007-09-25
EP1391140A4 (en) 2006-02-22
US20140034608A1 (en) 2014-02-06
JP2004533718A (ja) 2004-11-04
US20050173403A1 (en) 2005-08-11
TWI267160B (en) 2006-11-21
US20050173404A1 (en) 2005-08-11
EP1391140B1 (en) 2012-10-10

Similar Documents

Publication Publication Date Title
CN100401852C (zh) 用于控制工件支架表面上空间温度分布的方法与装置
CN101335186B (zh) 用于控制工件支架表面上空间温度分布的方法与装置
CN102122607B (zh) 控制空间温度分布的方法和装置
CN101095212B (zh) 用于对基片上的温度进行空间和时间控制的装置
US7993460B2 (en) Substrate support having dynamic temperature control
US7141763B2 (en) Method and apparatus for rapid temperature change and control
US6353209B1 (en) Temperature processing module
US6466426B1 (en) Method and apparatus for thermal control of a semiconductor substrate
KR20070003823A (ko) 온도 제어 방법 및 장치
TW200616139A (en) Method and apparatus for controlling temperature of a substrate
EP1261984B1 (en) Substrate thermal management system
US6508062B2 (en) Thermal exchanger for a wafer chuck
JP2002141287A (ja) プラズマ処理装置
JPH0242721A (ja) ドライエッチング装置
KR20200064278A (ko) 멀티존 히터가 구비된 정전척

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20080709