KR20220147155A - 개선된 프로세스 균일도를 갖는 기판 지지부 - Google Patents

개선된 프로세스 균일도를 갖는 기판 지지부 Download PDF

Info

Publication number
KR20220147155A
KR20220147155A KR1020227036605A KR20227036605A KR20220147155A KR 20220147155 A KR20220147155 A KR 20220147155A KR 1020227036605 A KR1020227036605 A KR 1020227036605A KR 20227036605 A KR20227036605 A KR 20227036605A KR 20220147155 A KR20220147155 A KR 20220147155A
Authority
KR
South Korea
Prior art keywords
ceramic layer
substrate
insert
disposed
edge ring
Prior art date
Application number
KR1020227036605A
Other languages
English (en)
Inventor
팡리 하오
여홍 푸
지강 첸
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220147155A publication Critical patent/KR20220147155A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)

Abstract

기판 프로세싱 시스템 내에서 기판을 지지하기 위한 기판 지지부가 베이스 플레이트 및 베이스 플레이트 위에 배치된 세라믹 층을 포함한다. 세라믹 층의 외측 주변부 (outer perimeter) 는 에지 링에 의해 둘러싸인다. 세라믹 층의 외측 에지가 에지 링 아래에서 연장하도록, 세라믹 층의 외측 반경은 에지 링의 내측 반경보다 크다.

Description

개선된 프로세스 균일도를 갖는 기판 지지부{SUBSTRATE SUPPORT WITH IMPROVED PROCESS UNIFORMITY}
관련 출원들에 대한 교차 참조
본 출원은 2017년 1월 5일 출원된 미국 실용신안 출원번호 제 15/399,244 호의 우선권을 주장한다. 상기 출원의 전체 개시는 본 명세서에 참조로서 인용된다.
본 개시는 기판 프로세싱 시스템들 내 기판 지지부들에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들을 처리하도록 사용될 수도 있다. 기판 상에서 수행될 수도 있는 예시적인 프로세스들은, 이로 제한되는 것은 아니지만, CVD (chemical vapor deposition), ALD (atomic layer deposition), 도전체 에칭, 유전체 에칭, 및/또는 다른 에칭, 증착, 또는 세정 프로세스들을 포함한다. 기판이 기판 프로세싱 시스템의 프로세싱 챔버 내에서 페데스탈, ESC (electrostatic chuck), 등과 같은 기판 지지부 상에 배치될 수도 있다. 에칭 동안, 하나 이상의 전구체들을 포함하는 가스 혼합물들이 프로세싱 챔버 내로 도입될 수도 있고 플라즈마는 화학 반응들을 개시하도록 사용될 수도 있다.
기판 지지부는 기판을 지지하도록 구성된 세라믹 층을 포함할 수도 있다. 예를 들어, 기판은 프로세싱 동안 세라믹 층에 클램핑될 수도 있다. 기판 지지부는 최적의 에지 퍼포먼스 및 수율을 위해 세라믹 층의 외측 주변부 및 기판을 둘러싸도록 배치된 에지 링을 포함할 수도 있다.
기판 프로세싱 시스템 내에서 기판을 지지하기 위한 기판 지지부는 베이스 플레이트 및 베이스 플레이트 위에 배치된 세라믹 층을 포함한다. 세라믹 층의 외측 주변부 (outer perimeter) 는 에지 링에 의해 둘러싸인다. 세라믹 층의 최상부 표면의 외측 에지가 에지 링 아래에서 연장하도록, 세라믹 층의 외측 반경은 에지 링의 내측 반경보다 크다. 다른 특징들에서, 세라믹 층은 세라믹 층의 최상부 표면 내에 배치된 환형 홈부 (annular groove) 및 환형 홈부 내에 배치된 인서트 (insert) 를 포함한다.
기판 프로세싱 방법은 베이스 플레이트를 제공하는 단계, 베이스 플레이트 위에 세라믹 층을 배치하는 단계, 세라믹 층의 외측 주변부 둘레에 배치된 에지 링을 배치하는 단계를 포함한다. 세라믹 층의 최상부 표면의 외측 에지가 에지 링 아래로 연장하도록 세라믹 층의 외측 반경은 에지 링의 내측 반경보다 크다. 세라믹 층은 세라믹 층의 최상부 표면에 배치된 환형 홈부 및 환형 홈부 내에 배치된 인서트를 포함한다. 방법은 세라믹 층 상에 기판을 배치하는 단계, 및 기판 상에서 적어도 하나의 프로세싱 단계를 수행하는 단계를 더 포함한다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 예시적인 기판 지지부이다.
도 2는 본 개시에 따른, 예시적인 프로세싱 챔버의 기능적 블록도이다.
도 3은 본 개시의 원리들에 따른, 세라믹 층을 포함한 예시적인 기판 지지부이다.
도 4는 본 개시의 원리들에 따른, 세라믹 층을 포함하는 예시적인 기판 지지부의 평면도이다.
도 5는 본 개시의 원리들에 따른, 예시적인 세라믹 층의 평면도이다.
도 6은 본 개시의 원리들에 따른, 세라믹 층을 포함하는 또 다른 예시적인 기판 지지부이다.
도 7은 본 개시의 원리들에 따른 예시적인 기판 프로세싱 방법의 단계들을 예시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
이제 도 1을 참조하면, ESC (electrostatic chuck) 와 같은 예시적인 기판 지지부 (10) 가 도시된다. 기판 지지부 (10) 는 세라믹 층 (18) 을 지지하는 도전성 베이스 플레이트 (14) 를 포함한다. 내열층 (22) (예를 들어, 본딩 층) 이 세라믹 층 (18) 과 베이스 플레이트 (14) 사이에 배치될 수도 있다. 기판 (26) 이 기판 지지부 (10) 의 세라믹 층 (18) 상에 배치된다. 기판 지지부 (10) 는 기판 (26) 의 외측 주변부를 둘러싸는 에지 어셈블리 (30) 를 포함할 수도 있다. 일부 예들에서, 에지 어셈블리 (30) 는 내측 에지 링 (34) 및 외측 절연체 링 (38) 을 포함할 수도 있다. 갭 (42) 이 기판 (26) 의 외측 주변부와 에지 링 (34) 사이에 규정될 수도 있다. 기판 지지부 (10) 는 베이스 플레이트 (14) 를 둘러싸고 에지 링 (34) 을 지지하는 하나 이상의 링 구조체들 (48, 52, 56, 60) 을 포함할 수도 있다. 구조체들 (48, 52, 56, 및 60) 은 프로세스 균일도, 예컨대 목표된 열 전도도, 목표된 전기적 또는 RF 커플링, 등에 관련된 특성들을 달성하도록 제공될 수도 있다.
기판 (26) 및/또는 기판 지지부 (10) 의 컴포넌트들과 연관된 제작 허용 오차들은 프로세스 불균일도들을 발생시킬 수도 있다. 예를 들어, 에지 링 (34) 의 내측 반경은 기판 지지부 (10) 상에서 프로세싱된 기판들의 외측 반경의 변동량들을 수용하도록 충분히 크게 선택될 수도 있다. 이에 따라, 상이한 기판들이 기판 (26) 의 외측 반경과 에지 링 (34) 의 내측 반경 사이에 상이한 갭 (42) 을 가질 수도 있다. 일부 예들에서 (도시된 바와 같이), 기판 (26) 의 외측 반경은 에지 링 (34) 의 내측 반경과 오버랩할 수도 있고, 목표된 프로세싱 퍼포먼스를 위해 세라믹 층 (18) 의 외측 반경보다 클 수도 있다.
갭 (42) 의 폭의 변동량들은 복수의 기판들의 프로세싱과 연관된 불균일도들을 발생시킬 수도 있다. 예를 들어, 기판 (26) 의 외측 에지와 에지 링 (34) 및/또는 세라믹 층 (18) (예를 들어, 거리, 상대적인 높이, 등) 사이의 위치 관계는 온도 불균일도들, 전기장 불균일도들, 등으로 인해 기판 (26) 의 외측 에지로 하여금 기판 (26) 의 내측 부분과 상이하게 프로세싱되게 할 수도 있다, 그 결과, 기판 (26) 은 에지에서 불균일한 에칭 깊이들, 불균일한 증착된 재료의 양, 등을 가질 수도 있다. 또한, 갭 (42) 은 프로세스 가스들 및 플라즈마에 노출된 세라믹 층 (18) 의 부분들의 부식을 증가시킬 수도 있고 아크발생 (arcing) 가능성을 상승시킬 수도 있다. 부식 및 아크발생과 같은 잠재적인 영향들은 기판 지지부에 인가된 전력을 제한할 수도 있고, 유지보수를 위해 증가된 정지시간 (downtime) 을 유발할 수도 있는, 등 할 수도 있다.
기판 프로세싱 시스템이 특정한 기판 지지부 및/또는 프로세싱 챔버와 연관된 공지의 프로세스 불균일도들을 보상하도록 구성될 수도 있다. 그러나, 기판 (26) 의 외측 반경, 따라서 기판 (26) 과 에지 링 (34) 간의 관계가 가변할 때, 이들 불균일도들을 보상하는 것은 어려울 수도 있다. 본 개시의 원리들에 따른 시스템들 및 방법들은 기판 프로세싱과 연관된 불균일도들을 감소시키도록 구성된 기판 지지부를 구현한다. 예를 들어, 기판 지지부의 세라믹 층이 기판 지지부 상에서 프로세싱된 에지 링 및 기판들에 상대적으로 증가된 직경을 갖고, 교체 가능한 (예를 들어, 희생성 (sacrificial) 또는 소모성) 인서트를 포함할 수도 있다.
이제 도 2를 참조하면, 예시적인 기판 프로세싱 시스템 (100) 이 도시된다. 단지 예를 들면, 기판 프로세싱 시스템 (100) 은 RF 플라즈마를 사용한 에칭, 증착 및/또는 다른 적합한 기판 프로세싱을 수행하기 위해 사용될 수도 있다. 기판 프로세싱 시스템 (100) 은 기판 프로세싱 시스템 (100) 의 다른 컴포넌트들을 둘러싸고 RF 플라즈마를 담는 프로세싱 챔버 (102) 를 포함한다. 기판 프로세싱 챔버 (102) 는 상부 전극 (104) 및 ESC (electrostatic chuck) 와 같은 기판 지지부 (106) 를 포함한다. 동작 동안, 기판 (108) 이 기판 지지부 (106) 상에 배치된다. 특정한 기판 프로세싱 시스템 (100) 및 챔버 (102) 가 예로서 도시되지만, 본 개시의 원리들은, 플라즈마를 인-시츄 생성하는 기판 프로세싱 시스템, 리모트 플라즈마를 생성 및 (예를 들어, 플라즈마 튜브, 마이크로파 튜브를 사용한) 전달을 구현하는 기판 프로세싱 시스템, 등과 같은, 다른 타입들의 기판 프로세싱 시스템들 및 챔버들에 적용될 수도 있다.
단지 예를 들면, 상부 전극 (104) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드 (109) 와 같은 가스 분배 디바이스를 포함할 수도 있다. 샤워헤드 (109) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함하는 스템 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 실린더형이고, 프로세싱 챔버의 상단 표면으로부터 이격되는 위치에 스템 부분의 반대편 단부로부터 외향으로 방사상으로 연장한다. 샤워헤드의 베이스 부분의 기판-대면 표면 또는 대면 플레이트는 프로세스 가스 또는 퍼지 가스가 흐르는 복수의 홀들을 포함한다. 대안적으로, 상부 전극 (104) 은 도전 플레이트를 포함할 수도 있고, 프로세스 가스들은 또 다른 방식으로 도입될 수도 있다.
기판 지지부 (106) 는 하부 전극으로서 역할을 하는, 도전성 베이스플레이트 (110) 를 포함한다. 베이스플레이트 (110) 는 세라믹 층 (112) 을 지지한다. 일부 예들에서, 세라믹 층 (112) 은 세라믹 멀티-존 가열 플레이트와 같은 가열 층을 포함할 수도 있다. 내열 층 (114)(예를 들어, 본딩 층) 은 세라믹 층 (112) 과 베이스플레이트 (110) 사이에 배치될 수도 있다. 베이스플레이트 (110) 는 베이스플레이트 (110) 를 통해 냉각제를 흘리기 위한 하나 이상의 냉각제 채널들 (116) 을 포함할 수도 있다. 기판 지지부 (106) 는 기판 (108) 의 외측 주변부 둘레에 배치된 에지 링 (118) 을 포함할 수도 있다.
RF 생성 시스템 (120) 은 RF 전력을 생성하고 상부 전극 (104) 및 하부 전극 (예를 들어, 기판 지지부 (106) 의 베이스플레이트 (110)) 중 하나로 출력한다. 상부 전극 (104) 및 베이스플레이트 (110) 중 다른 하나는 DC 접지될 수도 있거나, RF 접지될 수도 있거나 플로팅할 수도 있다. 단지 예를 들면, RF 생성 시스템 (120) 은 매칭 및 분배 네트워크 (124) 에 의해 상부 전극 (104) 또는 베이스플레이트 (110) 에 의해 피딩되는 RF 전압을 생성하는 RF 전압 생성기 (122) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도성으로 또는 리모트로 생성될 수도 있다. 예를 목적으로 도시되었지만, RF 생성 시스템 (120) 은 CCP (capacitively coupled plasma) 시스템에 대응하고, 본 개시의 원리들은 단지 예를 들면 TCP (transformer coupled plasma) 시스템들, CCP 캐소드 시스템들, 리모트 마이크로웨이브 플라즈마 생성 및 전달 시스템들, 등과 같은, 다른 적합한 시스템들로 구현될 수도 있다.
가스 전달 시스템 (130) 은 하나 이상의 가스 소스들 (132-1, 132-2, … 및 132-N (집합적으로 가스 소스들 (132)) 을 포함하고, N은 0보다 큰 정수이다. 가스 소스들은 하나 이상의 전구체들 및 이의 혼합물들을 공급한다. 가스 소스들은 또한 퍼지 가스를 공급할 수도 있다. 기화된 전구체가 또한 사용될 수도 있다. 가스 소스들은 밸브들 (134-1, 134-2, … 및 134-N (집합적으로 밸브들 (134)) 및 질량 유량 제어기들 (mass flow controllers) (136-1, 136-2, … 및 136-N (집합적으로 질량 유량 제어기들 (136)) 에 의해 매니폴드 (140) 에 연결된다. 매니폴드 (140) 의 출력은 프로세싱 챔버 (102) 로 피드된다. 단지 예를 들면, 매니폴드 (140) 의 출력은 샤워헤드 (109) 로 피딩된다.
온도 제어기 (142) 가 세라믹 층 (112) 내에 배치된 TCE들 (thermal control elements) (144) 과 같은 복수의 가열 엘리먼트들에 연결될 수도 있다. 예를 들어, 가열 엘리먼트들 (144) 은, 이로 제한되는 것은 아니지만, 멀티-존 가열 플레이트의 각각의 존들에 대응하는 매크로 가열 엘리먼트들 및/또는 멀티-존 가열 플레이트의 복수의 존들에 걸쳐 배치된 마이크로 가열 엘리먼트들의 어레이를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지부 (106) 및 기판 (108) 의 온도를 제어하기 위해 복수의 가열 엘리먼트들 (144) 을 가열하도록 사용될 수도 있다. 본 개시의 원리들에 따른 가열 엘리먼트들 (144) 각각은 이하에 보다 상세히 기술된 바와 같이, 포지티브 TCR을 갖는 제 1 재료 및 네거티브 TCR을 갖는 제 2 재료를 포함할 수도 있다.
온도 제어기 (142) 는 채널들 (116) 을 통한 냉각제 플로우를 제어하도록 냉각제 어셈블리 (146) 와 연통할 수도 있다. 예를 들어, 냉각제 어셈블리 (146) 는 냉각제 펌프 및 저장부를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지부 (106) 를 냉각하기 위해 채널들 (116) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (146) 를 동작시킨다.
밸브 (150) 및 펌프 (152) 는 프로세싱 챔버 (102) 로부터 반응물질들을 배기하도록 사용될 수도 있다. 시스템 제어기 (160) 는 기판 프로세싱 시스템 (100) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 로봇 (170) 은 기판 지지부 (106) 상으로 기판들을 전달하고 그리고 기판 지지부 (106) 로부터 기판들을 제거하도록 사용될 수도 있다. 예를 들어, 로봇 (170) 은 기판 지지부 (106) 와 로드록 (172) 사이에서 기판들을 이송할 수도 있다. 별도의 제어기로서 도시되지만, 온도 제어기 (142) 는 시스템 제어기 (160) 내에 구현될 수도 있다. 일부 예들에서, 보호 시일 (176) 이 세라믹 층 (112) 과 베이스플레이트 (110) 사이의 본딩 층 (114) 의 주변부 둘레에 제공될 수도 있다.
본 개시의 원리들에 따른, 기판 지지부 (106) 의 세라믹 층 (112) 및 에지 링 (118) 은 이하에 보다 상세히 기술된 바와 같이 기판 (108) 에 상대적으로 증가된 외측 직경을 갖는다. 또한, 세라믹 층 (112) 의 외측 반경은, 세라믹 층 (112) 이 에지 링 (118) 아래로 연장하도록 에지 링 (118) 의 내측 반경보다 클 수도 있다. 세라믹 층 (112) 은 도 3, 도 4, 도 5, 및 도 6에 이하에 기술된 바와 같이, 교체 가능한 인서트 (도 2에는 미도시) 를 포함할 수도 있다.
이제 도 3 및 도 4를 참조하면, 예시적인 기판 지지부 (300) 가 도시된다. 기판 지지부 (300) 는 도 3에 단면도 그리고 도 4에 평면도로 도시된다. 기판 지지부 (300) 는 세라믹 층 (308) 을 지지하는 도전성 베이스 플레이트 (304) 를 포함한다. 본딩 층 (312) 이 세라믹 층 (308) 과 베이스 플레이트 (304) 사이에 배치될 수도 있다. 기판 (316) 이 세라믹 층 (308) 상에 배치된다. 기판 지지부 (300) 는 기판 (316) 의 외측 주변부 둘레에 배치된 에지 어셈블리 (320) 를 포함한다. 일부 예들에서, 에지 어셈블리 (320) 는 내측 에지 링 (324) 및 외측 절연체 링 (328) 을 포함할 수도 있다. 간략함을 위해, 외측 절연체 링 (328) 이 도 4에 도시되지 않는다.
세라믹 층 (308) 의 직경 및 외측 반경 (및, 이에 따라, 외측 에지 (332)) 뿐만 아니라, 에지 링 (320) 내측 반경이 기판 지지부 (300) 상에서 프로세싱될 기판들에 상대적으로 증가한다. 기판 (316) 과 에지 링 (324) 사이의 갭 (336) 의 폭이 증가될 수도 있다. 예를 들어, 세라믹 층 (308) 의 외측 반경은 기판 지지부 (300) 상에서 프로세싱된 최대로 가능한 기판의 외측 반경보다 미리 결정된 최소 오프셋만큼 클 수도 있다. 단지 예를 들면, 300 ㎜ 기판들 (즉, 150 ㎜ 반경을 갖는) 에 대해, 기판의 제작 변동량은 1 ㎜만큼 높을 수도 있고, 150.5 ㎜의 외측 반경을 발생시킨다. 이에 따라, 세라믹 층 (308) 의 외측 반경은 150.5 ㎜ + 오프셋일 수도 있다. 일부 예들에서, 오프셋은 적어도 1 ㎜이다. 다른 예들에서, 오프셋은 적어도 2 ㎜이다. 이와 같이, 300 ㎜ 기판들을 프로세싱하기 위한 기판 지지부에 대해, 세라믹 층 (308) 의 외측 반경은 1 ㎜의 오프셋을 제공하도록 151.5 ㎜일 수도 있다. 유사하게, 450 ㎜ 기판들 프로세싱하기 위한 기판 지지부에 대해, 세라믹 층 (308) 의 외측 반경은 1 ㎜의 오프셋을 제공하도록 226.1 ㎜일 수도 있다. 단지 예를 들면, 직경 d (예를 들어, d ㎜) 및 v ㎜의 제작 변동량을 갖는 기판들을 프로세싱기 위한 구성에서, 세라믹 층은 (d + v)/2 와 미리 결정된 오프셋의 합 이상의 외측 반경을 가질 수도 있다.
1 ㎜ 및 2 ㎜의 오프셋들이 제공될 수도 있지만, 단지 예를 들면, 오프셋은 세라믹 층 (308) 이 에지 링 (324) 아래로 연장하기 충분한 임의의 양을 가질 수도 있다. 예를 들어, 세라믹 층 (308) 은 에지 링 (324) 의 내측 반경보다 큰 최소량인 외측 반경을 가질 수도 있다. 예를 들어, 세라믹 층 (308) 의 외측 반경은 에지 링 (324) 의 내측 반경보다 1 ㎜, 2 ㎜, 3 ㎜, 등 클 수도 있다. 이에 따라, 세라믹 층 (308) 은 에지 링 (324) 아래로 연장하고, 세라믹 층 (308) 의 외측 에지 (332) 는 에지 링 (324) 아래에 배치된다 (즉, 에지 링 (324) 이 세라믹 층 (308) 의 외측 에지 (332) 과 오버랩한다).
세라믹 층 (308) 이 에지 링 (324) 아래로 연장하고 기판 (316) 보다 크기 때문에, 세라믹 층 (308) 의 일부는 기판 (316) 또는 에지 링 (324) 에 의해 커버되지 않는다. 이에 따라, 세라믹 층 (308) 은 교체 가능한 인서트 (340) 를 포함할 수도 있다. 예를 들어, 인서트 (340) 는 환형이고 에지 링 (324) 아래의 세라믹 층 (308) 의 상부 표면의 환형 슬롯 또는 홈부 (344) 내에 배치된다. 단지 예를 들면, 인서트 (340) 는 에지 링 (324) 아래 세라믹 층 (308) 의 부분과 프로세스 가스들 및 플라즈마에 노출된 세라믹 층 (308) 의 부분 (즉, 기판 (316) 또는 에지 링 (324) 에 의해 커버되지 않는 세라믹 층 (308) 의 부분) 사이의 계면에 배치된다. 인서트 (340) 에 대응하는 세라믹 층 (308) 의 이 부분은 프로세스 가스들 (예를 들어, 플라즈마) 에 대해 증가된 노출, 따라서 증가된 부식 및 마모를 경험할 수도 있다. 이에 따라, 교체 가능한 인서트 (340) 를 사용하지 않고, 갭 (336) 에 의해 유발된 플라즈마로의 증가된 노출은 세라믹 층 (308) 의 증가된 부식을 발생시킬 것이고, 그리고 세라믹 층 (308) 은 빈번한 교체를 필요로 할 것이다.
대신, 교체 가능한 인서트 (340) 는 기판 지지부 (300) 의 컴포넌트들의 보다 적은 비용, 보다 적은 시스템 정지시간으로 교체될 수도 있고, 및 보다 효율적인 디스어셈블 (disassembly) 및 재어셈블 (reassembly) 될 수도 있다. 예를 들어, 인서트 (340) 는 에지 어셈블리 (320) 의 내측 에지 링 (324) 을 제거하고 이어서 인서트 (340) 를 제거함으로써 교체될 수도 있다. 단지 예를 들면, 인서트 (340) 는 세라믹 층 (308) 과 동일한 재료 (예를 들어, 임의의 적합한 세라믹) 를 포함할 수도 있다. 이에 따라, 프로세스 가스들로의 노출은 인서트 (340) 의 부식을 유발할 수도 있다. 이와 같이, 인서트 (340) 는 희생성 또는 소모성을 특징으로 할 수도 있다.
일부 예들에서, 기판 지지부 (300) 는 도 1에 도시된 바와 같은 링 구조체들 (48, 52, 56, 및 60) 과 같은 구조체들을 생략 및/또는 간략화할 수도 있다. 예를 들어, 세라믹 층 (308) 의 외측 반경을 기판 (316) 에 상대적으로 증가시키는 것이 기판 (316) 의 에지에서 프로세스 균일도를 개선하기 때문에, 프로세스 균일도를 개선하기 위해 제공된 부가적인 구조체들이 필수적일 수도 있다. 단지 예를 들면, 도 1의 에지 링 (34) 바로 아래의 링 구조체들 (52, 56 및 60) 은 도 3에 도시된 예에서 생략된다.
이제 도 5를 참조하면, 교체 가능한 인서트 (340) 를 갖는 세라믹 층 (308) 의 예가 도시된다. 일부 예들에서, 인서트 (340) 는 인서트 (340) 를 세라믹 층 (308) 에 부착하기 위해 하나 이상의 탭 홀들 (tap holes) (예를 들어, 쓰레드된 탭 홀들) (348) 을 포함할 수도 있다. 세라믹 층 (308) 은 세라믹 층 (308) 의 홈부 (344) 로부터 인서트 (340) 의 제거를 용이하게 하도록 하나 이상의 컷아웃들 (352) 을 포함할 수도 있다. 예를 들어, 컷아웃들 (352) 은 홈부 (344) 로부터 인서트 (340) 를 들러올리기 (prying) 위한 툴을 수용하도록 구성될 수도 있다.
이제 도 6을 참조하면, 기판 지지부 (320) 의 또 다른 예가 도시된다. 이 예에서, 인서트 (340) 는 도 3에 도시된 예에서보다 넓다. 이에 따라, 인서트 (340) 는 에지 링 (324) 아래로부터, 갭 (336) 내로, 그리고 기판 (316) 의 외측 에지 아래로 연장한다. 즉, 인서트 (340) 는 갭 (336) 에서 프로세스 가스들에 노출된 세라믹 층 (308) 의 전체 부분을 점유한다.
이제 도 7을 참조하면, 예시적인 기판 프로세싱 방법 (700) 이 704에서 시작된다. 708에서, 세라믹 층을 포함하는 기판 지지부가 제공된다. 기판 지지부는 200 ㎜, 300 ㎜, 450 ㎜, 등과 같은, 표준 사이즈를 갖는 기판 (즉, 웨이퍼) 을 프로세싱하게 구성된다. 세라믹 층은 상기 기술된 바와 같이 기판 지지부 상에서 프로세싱될 기판들의 외측 반경보다 큰 외측 반경을 갖는다. 예를 들어, 기판 지지부가 직경 d 및 v의 제작 변동량을 갖는 표준 기판들을 프로세싱하게 구성된다면, 세라믹 층은 (d + v)/2 와 미리 결정된 오프셋의 합 이상의 외측 반경을 가질 수도 있다. 712에서, 기판이 세라믹 층 상에 배치된다. 716에서, 하나 이상의 기판 프로세싱 단계들이 기판 상에서 수행된다. 방법 (700) 은 720에서 종료된다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열적 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (19)

  1. 기판 프로세싱 시스템 내에서 기판을 지지하기 위한 기판 지지부에 있어서,
    베이스 플레이트;
    상기 베이스 플레이트 상에 배치된 세라믹 층으로서, 상기 세라믹 층은 (i) 상기 세라믹 층의 최상부 표면에 배치된 환형 홈부 (annular groove) 및 (ii) 상기 환형 홈부 내에 배치된 제거 가능한 인서트 (insert) 를 포함하는, 상기 세라믹 층; 및
    상기 세라믹 층 상에 배치된 에지 링을 포함하고,
    상기 세라믹 층의 상기 최상부 표면의 외측 주변부 (outer perimeter) 가 상기 에지 링 아래로 연장하도록, 상기 세라믹 층의 상기 외측 주변부가 상기 에지 링의 내측 주변부의 방사상 외부로 연장하는, 기판 지지부.
  2. 제 1 항에 있어서,
    상기 인서트는 세라믹을 포함하는, 기판 지지부.
  3. 제 1 항에 있어서,
    상기 인서트는 적어도 부분적으로 상기 에지 링 아래에 위치되는, 기판 지지부.
  4. 제 1 항에 있어서,
    상기 기판이 상기 세라믹 층 상에 배치될 때, 상기 인서트는 상기 기판 아래로 연장하는, 기판 지지부.
  5. 제 1 항에 있어서,
    상기 기판 지지부는 300 ㎜ 기판을 지지하도록 구성되고, 그리고 상기 세라믹 층은 적어도 151.5 ㎜의 외측 반경을 갖는, 기판 지지부.
  6. 제 1 항에 있어서,
    상기 기판 지지부는 450 ㎜ 기판을 지지하도록 구성되고, 그리고 상기 세라믹 층은 적어도 226.5 ㎜의 외측 반경을 갖는, 기판 지지부.
  7. 제 1 항에 있어서,
    상기 기판 지지부는 직경 d ㎜를 갖는 기판을 지지하도록 구성되고, 그리고
    상기 기판이 상기 세라믹 층 상에 배치될 때, (i) 상기 기판과 연관된 제작 변동량이 v ㎜이고, (ii) 상기 세라믹 층은 (d + v)/2 과 미리 결정된 오프셋의 합 이상의 외측 반경을 갖는, 기판 지지부.
  8. 제 1 항에 있어서,
    상기 인서트는 상기 세라믹 층에 상기 인서트의 부착을 용이하게 하도록 구성된 하나 이상의 홀들을 포함하는, 기판 지지부.
  9. 제 1 항에 있어서,
    상기 세라믹 층은 상기 환형 홈부로부터 상기 인서트의 제거를 용이하게 하도록 상기 인서트의 외측 주변부 둘레에 하나 이상의 컷아웃들을 포함하는, 기판 지지부.
  10. 제 1 항에 있어서,
    상기 인서트는 상기 세라믹 층과 동일한 세라믹 재료로 구성되는, 기판 지지부.
  11. 기판 프로세싱 시스템 내에서 기판을 지지하기 위한 기판 지지부에 있어서,
    베이스 플레이트;
    상기 베이스 플레이트 상에 배치된 세라믹 층;
    상기 세라믹 층의 최상부 표면에 배치된 환형 홈부;
    상기 환형 홈부 내에 배치된 제거 가능한 인서트; 및
    상기 세라믹 층 상에 배치된 제거 가능한 에지 링을 포함하고,
    상기 세라믹 층의 상기 최상부 표면의 외측 주변부가 상기 에지 링 아래로 연장하도록 상기 세라믹 층의 상기 외측 주변부가 상기 에지 링의 내측 주변부의 방사상 외부로 연장되고, 그리고
    상기 인서트는 적어도 부분적으로 상기 에지 링 아래에 위치되는, 기판 지지부.
  12. 제 11 항에 있어서,
    상기 인서트는 세라믹을 포함하는, 기판 지지부.
  13. 제 11 항에 있어서,
    상기 인서트는 상기 세라믹 층과 동일한 세라믹 재료를 포함하는, 기판 지지부.
  14. 제 11 항에 있어서,
    상기 기판이 상기 세라믹 층 상에 배치될 때, 상기 인서트는 상기 기판 아래로 연장하는, 기판 지지부.
  15. 제 11 항에 있어서,
    상기 기판 지지부는 300 ㎜ 기판을 지지하도록 구성되고, 그리고 상기 세라믹 층은 적어도 151.5 ㎜의 외측 반경을 갖는, 기판 지지부.
  16. 제 11 항에 있어서,
    상기 기판 지지부는 450 ㎜ 기판을 지지하도록 구성되고, 그리고 상기 세라믹 층은 적어도 226.5 ㎜의 외측 반경을 갖는, 기판 지지부.
  17. 제 11 항에 있어서,
    상기 기판 지지부는 직경 d ㎜를 갖는 기판을 지지하도록 구성되고, 그리고
    상기 기판이 상기 세라믹 층 상에 배치될 때, (i) 상기 기판과 연관된 제작 변동량이 v ㎜이고, 그리고 (ii) 상기 세라믹 층은 (d + v)/2 과 미리 결정된 오프셋의 합 이상의 외측 반경을 갖는, 기판 지지부.
  18. 제 11 항에 있어서,
    상기 인서트는 상기 세라믹 층에 상기 인서트의 부착을 용이하게 하도록 구성된 하나 이상의 홀들을 포함하는, 기판 지지부.
  19. 제 11 항에 있어서,
    상기 세라믹 층은 상기 환형 홈부로부터 상기 인서트의 제거를 용이하게 하도록 상기 인서트의 외측 주변부 둘레에 하나 이상의 컷아웃들을 포함하는, 기판 지지부.
KR1020227036605A 2017-01-05 2017-11-07 개선된 프로세스 균일도를 갖는 기판 지지부 KR20220147155A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/399,244 US10910195B2 (en) 2017-01-05 2017-01-05 Substrate support with improved process uniformity
US15/399,244 2017-01-05
PCT/US2017/060366 WO2018128707A1 (en) 2017-01-05 2017-11-07 Substrate support with improved process uniformity
KR1020197022354A KR102458699B1 (ko) 2017-01-05 2017-11-07 개선된 프로세스 균일도를 갖는 기판 지지부

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197022354A Division KR102458699B1 (ko) 2017-01-05 2017-11-07 개선된 프로세스 균일도를 갖는 기판 지지부

Publications (1)

Publication Number Publication Date
KR20220147155A true KR20220147155A (ko) 2022-11-02

Family

ID=62708437

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197022354A KR102458699B1 (ko) 2017-01-05 2017-11-07 개선된 프로세스 균일도를 갖는 기판 지지부
KR1020227036605A KR20220147155A (ko) 2017-01-05 2017-11-07 개선된 프로세스 균일도를 갖는 기판 지지부

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020197022354A KR102458699B1 (ko) 2017-01-05 2017-11-07 개선된 프로세스 균일도를 갖는 기판 지지부

Country Status (6)

Country Link
US (2) US10910195B2 (ko)
JP (2) JP7266524B2 (ko)
KR (2) KR102458699B1 (ko)
CN (1) CN110168714A (ko)
TW (1) TWI783960B (ko)
WO (1) WO2018128707A1 (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10910195B2 (en) * 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
JP6926225B2 (ja) * 2017-03-31 2021-08-25 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. 処理チャンバにおける工作物における材料堆積防止
WO2018183243A1 (en) * 2017-03-31 2018-10-04 Mattson Technology, Inc. Pedestal assembly for plasma processing apparatus
JP7101055B2 (ja) * 2018-06-12 2022-07-14 東京エレクトロン株式会社 静電チャック、フォーカスリング、支持台、プラズマ処理装置、及びプラズマ処理方法
JP7333712B2 (ja) * 2019-06-05 2023-08-25 東京エレクトロン株式会社 静電チャック、支持台及びプラズマ処理装置
KR102325223B1 (ko) * 2019-07-22 2021-11-10 세메스 주식회사 기판 처리 장치
KR20220038172A (ko) * 2019-08-05 2022-03-25 램 리써치 코포레이션 기판 프로세싱 시스템들을 위한 에지 링 시스템들
JP2021068782A (ja) * 2019-10-21 2021-04-30 東京エレクトロン株式会社 載置台アセンブリ、基板処理装置、及びシール部材
US11749543B2 (en) * 2020-07-06 2023-09-05 Applied Materials, Inc. Chamber matching and calibration
GB202012560D0 (en) * 2020-08-12 2020-09-23 Spts Technologies Ltd Apparatus and method
US20220293397A1 (en) * 2021-03-10 2022-09-15 Applied Materials, Inc. Substrate edge ring that extends process environment beyond substrate diameter
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Family Cites Families (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3752956A (en) 1972-05-03 1973-08-14 Du Pont Electrical resistance heating control circuit
DE3017749A1 (de) 1980-05-09 1981-11-12 Artur Dr.H.C. 7244 Waldachtal Fischer Befestigungsvorrichtung fuer die befestigung von sanitaergegenstaenden
US5059770A (en) 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US6998065B1 (en) 1989-12-28 2006-02-14 Nippon Mitsubishi Oil Corporation Fluid compositions containing refrigerator oils and chlorine-free fluorocarbon refrigerants
US5292399A (en) * 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
US5447570A (en) * 1990-04-23 1995-09-05 Genus, Inc. Purge gas in wafer coating area selection
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5376213A (en) 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
JPH0653149A (ja) 1992-07-31 1994-02-25 Tokyo Electron Ltd 半導体製造装置用シール材
EP0635870A1 (en) 1993-07-20 1995-01-25 Applied Materials, Inc. An electrostatic chuck having a grooved surface
KR100404631B1 (ko) 1994-01-31 2004-02-05 어플라이드 머티어리얼스, 인코포레이티드 두께가일정한절연체막을갖는정전기척
US5583736A (en) 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck
JPH08293539A (ja) 1995-04-21 1996-11-05 Hitachi Ltd 半導体製造方法および装置
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US6370007B2 (en) 1995-09-20 2002-04-09 Hitachi, Ltd. Electrostatic chuck
US5781400A (en) 1995-09-20 1998-07-14 Hitachi, Ltd. Electrostatically attracting electrode and a method of manufacture thereof
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
JPH1079372A (ja) 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US6395363B1 (en) 1996-11-05 2002-05-28 Applied Materials, Inc. Sloped substrate support
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5740009A (en) * 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5978202A (en) 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US6176932B1 (en) 1998-02-16 2001-01-23 Anelva Corporation Thin film deposition apparatus
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
JP4053148B2 (ja) 1998-07-28 2008-02-27 株式会社エフオーアイ プラズマ処理装置
US6639783B1 (en) 1998-09-08 2003-10-28 Applied Materials, Inc. Multi-layer ceramic electrostatic chuck with integrated channel
JP2000130414A (ja) 1998-10-23 2000-05-12 Nakao Seisakusho:Kk ジョイント金具
TW517092B (en) 1999-03-17 2003-01-11 Kobe Steel Ltd High-temperature and high-pressure treatment device
US20020036881A1 (en) 1999-05-07 2002-03-28 Shamouil Shamouilian Electrostatic chuck having composite base and method
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP2000323558A (ja) * 1999-05-07 2000-11-24 Nikon Corp 静電吸着装置
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6462928B1 (en) 1999-05-07 2002-10-08 Applied Materials, Inc. Electrostatic chuck having improved electrical connector and method
KR20010007406A (ko) 1999-06-17 2001-01-26 조셉 제이. 스위니 정전 처크에 의해 발생한 정전력 균형을 맞추는 방법 및장치
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6839217B1 (en) 1999-10-01 2005-01-04 Varian Semiconductor Equipment Associates, Inc. Surface structure and method of making, and electrostatic wafer clamp incorporating surface structure
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP4522527B2 (ja) 2000-03-06 2010-08-11 キヤノンアネルバ株式会社 半導体製造装置における基板搭載方法
JP5165817B2 (ja) 2000-03-31 2013-03-21 ラム リサーチ コーポレーション 静電チャック及びその製造方法
US6306247B1 (en) 2000-04-19 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for preventing etch chamber contamination
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US6922324B1 (en) 2000-07-10 2005-07-26 Christopher M. Horwitz Remote powering of electrostatic chucks
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6563686B2 (en) * 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
JP4868649B2 (ja) 2001-03-29 2012-02-01 ラム リサーチ コーポレーション プラズマ処理装置
US6693790B2 (en) 2001-04-12 2004-02-17 Komatsu, Ltd. Static electricity chuck apparatus and semiconductor producing apparatus provided with the static electricity chuck apparatus
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
WO2002089531A1 (en) 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP2003013340A (ja) 2001-06-28 2003-01-15 Tsudakoma Corp 織機の電動送り出し制御方法
JP3810300B2 (ja) 2001-10-30 2006-08-16 京セラ株式会社 静電チャック
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
WO2003047312A1 (fr) 2001-11-30 2003-06-05 Ibiden Co., Ltd. Dispositif chauffant en ceramique
US6754062B2 (en) 2002-02-27 2004-06-22 Praxair S.T. Technology, Inc. Hybrid ceramic electrostatic clamp
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6682603B2 (en) 2002-05-07 2004-01-27 Applied Materials Inc. Substrate support with extended radio frequency electrode upper surface
TWI269815B (en) * 2002-05-20 2007-01-01 Tosoh Smd Inc Replaceable target sidewall insert with texturing
US20040045813A1 (en) 2002-09-03 2004-03-11 Seiichiro Kanno Wafer processing apparatus, wafer stage, and wafer processing method
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
AU2003254871A1 (en) 2002-10-25 2004-05-13 Nok Corporation Plasma resistant seal
US7850174B2 (en) * 2003-01-07 2010-12-14 Tokyo Electron Limited Plasma processing apparatus and focus ring
JP2004311837A (ja) * 2003-04-09 2004-11-04 Ngk Insulators Ltd 半導体製造装置用部材とその製造方法
CN1310285C (zh) 2003-05-12 2007-04-11 东京毅力科创株式会社 处理装置
US7361865B2 (en) 2003-08-27 2008-04-22 Kyocera Corporation Heater for heating a wafer and method for fabricating the same
TWI488236B (zh) * 2003-09-05 2015-06-11 Tokyo Electron Ltd Focusing ring and plasma processing device
US20050079729A1 (en) 2003-10-08 2005-04-14 Woo-Sung Jang High density plasma oxide film deposition apparatus having a guide ring and a semiconductor device manufacturing method using the same
KR100505035B1 (ko) 2003-11-17 2005-07-29 삼성전자주식회사 기판을 지지하기 위한 정전척
US7196295B2 (en) 2003-11-21 2007-03-27 Watlow Electric Manufacturing Company Two-wire layered heater system
KR100808349B1 (ko) 2003-11-21 2008-02-27 다이킨 고교 가부시키가이샤 밀봉재, 그의 제조방법 및 그를 갖는 액정·반도체 제조 장치
US8680443B2 (en) 2004-01-06 2014-03-25 Watlow Electric Manufacturing Company Combined material layering technologies for electric heaters
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
KR101098798B1 (ko) 2004-05-26 2011-12-26 쿄세라 코포레이션 히터와 웨이퍼 가열장치 및 히터의 제조방법
CN100470756C (zh) 2004-06-28 2009-03-18 京瓷株式会社 静电卡盘
JP2006086230A (ja) * 2004-09-14 2006-03-30 Hitachi Kokusai Electric Inc 半導体製造装置
TWI281833B (en) 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
US7052553B1 (en) 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US20060273277A1 (en) 2005-06-02 2006-12-07 Heller Mark J Plasma resistant seal assembly with replaceable barrier shield
SG163536A1 (en) 2005-06-29 2010-08-30 Watlow Electric Mfg Smart layered heater surfaces
US7431788B2 (en) 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US7525787B2 (en) 2005-09-30 2009-04-28 Lam Research Corporation Electrostatic chuck assembly with dielectric material and/or cavity having varying thickness, profile and/or shape, method of use and apparatus incorporating same
US7869184B2 (en) 2005-11-30 2011-01-11 Lam Research Corporation Method of determining a target mesa configuration of an electrostatic chuck
US7651571B2 (en) 2005-12-22 2010-01-26 Kyocera Corporation Susceptor
JP4942471B2 (ja) 2005-12-22 2012-05-30 京セラ株式会社 サセプタおよびこれを用いたウェハの処理方法
JP2007311613A (ja) 2006-05-19 2007-11-29 Hitachi High-Technologies Corp 試料台及びそれを備えたプラズマ処理装置
JP5183058B2 (ja) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP4943086B2 (ja) 2006-08-10 2012-05-30 東京エレクトロン株式会社 静電チャック装置及びプラズマ処理装置
US20080041312A1 (en) 2006-08-10 2008-02-21 Shoichiro Matsuyama Stage for plasma processing apparatus, and plasma processing apparatus
JP5233093B2 (ja) 2006-08-10 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
US8741098B2 (en) 2006-08-10 2014-06-03 Tokyo Electron Limited Table for use in plasma processing system and plasma processing system
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US8146902B2 (en) * 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
JP5029089B2 (ja) 2007-03-26 2012-09-19 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
US8108981B2 (en) * 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
JP2009054871A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
TWI459851B (zh) 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
JP4905375B2 (ja) 2008-01-30 2012-03-28 住友電気工業株式会社 ウエハ保持体の支持構造
KR100943427B1 (ko) * 2008-02-04 2010-02-19 주식회사 유진테크 기판지지유닛 및 기판처리장치, 그리고 기판지지유닛을제조하는 방법
JP5284153B2 (ja) 2008-03-21 2013-09-11 日本碍子株式会社 セラミックスヒータ
JP5324251B2 (ja) 2008-05-16 2013-10-23 キヤノンアネルバ株式会社 基板保持装置
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US9543181B2 (en) 2008-07-30 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Replaceable electrostatic chuck sidewall shield
CN102160167B (zh) 2008-08-12 2013-12-04 应用材料公司 静电吸盘组件
JP2010080717A (ja) 2008-09-26 2010-04-08 Tokyo Electron Ltd プラズマ処理装置用の載置台
KR101413764B1 (ko) 2008-10-22 2014-07-02 주식회사 뉴파워 프라즈마 서셉터 어셈블리
US9218997B2 (en) 2008-11-06 2015-12-22 Applied Materials, Inc. Electrostatic chuck having reduced arcing
JP2010129845A (ja) 2008-11-28 2010-06-10 Creative Technology:Kk 静電チャック及びその製造方法
JP2010153730A (ja) 2008-12-26 2010-07-08 Omron Corp 配線構造、ヒータ駆動装置、計測装置および制御システム
JP5262878B2 (ja) 2009-03-17 2013-08-14 東京エレクトロン株式会社 載置台構造及びプラズマ成膜装置
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5218865B2 (ja) 2010-03-26 2013-06-26 Toto株式会社 静電チャック
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
WO2012056807A1 (ja) 2010-10-25 2012-05-03 日本碍子株式会社 セラミックス材料、積層体、半導体製造装置用部材及びスパッタリングターゲット部材
WO2012056808A1 (ja) 2010-10-25 2012-05-03 日本碍子株式会社 セラミックス材料、半導体製造装置用部材、スパッタリングターゲット部材及びセラミックス材料の製造方法
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
WO2012090782A1 (ja) 2010-12-27 2012-07-05 株式会社クリエイティブ テクノロジー ワーク加熱装置及びワーク処理装置
JP5339162B2 (ja) 2011-03-30 2013-11-13 Toto株式会社 静電チャック
US8901459B2 (en) 2011-06-30 2014-12-02 Semes Co. Ltd. Substrate supporting units and substrate treating apparatuses including the same
US8520360B2 (en) 2011-07-19 2013-08-27 Lam Research Corporation Electrostatic chuck with wafer backside plasma assisted dechuck
CN103999545B (zh) 2011-08-30 2018-02-06 沃特洛电气制造公司 制造高清晰度加热器系统的方法
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9076831B2 (en) 2011-11-04 2015-07-07 Lam Research Corporation Substrate clamping system and method for operating the same
CN103123906A (zh) 2011-11-18 2013-05-29 中芯国际集成电路制造(北京)有限公司 用于处理晶圆的反应装置、静电吸盘和晶圆温度控制方法
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
WO2013111363A1 (ja) 2012-01-26 2013-08-01 京セラ株式会社 静電チャック
TWI579956B (zh) 2012-02-08 2017-04-21 東京威力科創股份有限公司 靜電吸盤裝置
US9682398B2 (en) * 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
KR20190124348A (ko) 2012-04-26 2019-11-04 어플라이드 머티어리얼스, 인코포레이티드 Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치
JP5823915B2 (ja) 2012-05-29 2015-11-25 新光電気工業株式会社 静電チャックの製造方法
JP5973841B2 (ja) 2012-08-22 2016-08-23 日本特殊陶業株式会社 静電チャックのガス制御装置およびガス制御方法
JP5441019B1 (ja) 2012-08-29 2014-03-12 Toto株式会社 静電チャック
KR102044389B1 (ko) 2012-10-04 2019-11-14 세메스 주식회사 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
JP5633766B2 (ja) 2013-03-29 2014-12-03 Toto株式会社 静電チャック
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US20150024517A1 (en) 2013-07-19 2015-01-22 Texas Instruments Incorporated Plasma etcher chuck band
US10211046B2 (en) 2013-07-19 2019-02-19 Applied Materials, Inc. Substrate support ring for more uniform layer thickness
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
JP6432474B2 (ja) 2014-03-27 2018-12-05 Toto株式会社 静電チャック
JP6442296B2 (ja) * 2014-06-24 2018-12-19 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP6375163B2 (ja) 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
KR20160107415A (ko) 2015-03-03 2016-09-19 현대자동차주식회사 차량용 공조장치
JP6435247B2 (ja) * 2015-09-03 2018-12-05 新光電気工業株式会社 静電チャック装置及び静電チャック装置の製造方法
US10340171B2 (en) 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
US11069553B2 (en) 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
DE102016115614A1 (de) * 2016-08-23 2018-03-01 Aixtron Se Suszeptor für einen CVD-Reaktor
US10910195B2 (en) * 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10741425B2 (en) 2017-02-22 2020-08-11 Lam Research Corporation Helium plug design to reduce arcing
KR102511255B1 (ko) * 2017-10-16 2023-03-16 엔지케이 인슐레이터 엘티디 정전 척

Also Published As

Publication number Publication date
JP7266524B2 (ja) 2023-04-28
JP2023052492A (ja) 2023-04-11
US10910195B2 (en) 2021-02-02
KR102458699B1 (ko) 2022-10-24
TWI783960B (zh) 2022-11-21
WO2018128707A1 (en) 2018-07-12
KR20190095495A (ko) 2019-08-14
CN110168714A (zh) 2019-08-23
US20210166914A1 (en) 2021-06-03
TW201842620A (zh) 2018-12-01
US11984296B2 (en) 2024-05-14
US20180190526A1 (en) 2018-07-05
JP2020504452A (ja) 2020-02-06

Similar Documents

Publication Publication Date Title
KR102458699B1 (ko) 개선된 프로세스 균일도를 갖는 기판 지지부
KR102236832B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
KR102454532B1 (ko) 전기적 아크 및 발광을 방지하고 프로세스 균일도를 개선하기 위한 피처들을 갖는 정전 척
KR102627019B1 (ko) 작은 갭을 갖는 핀 리프터 어셈블리
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
US11515128B2 (en) Confinement ring with extended life
US10096471B2 (en) Partial net shape and partial near net shape silicon carbide chemical vapor deposition
US20220235459A1 (en) Reduced diameter carrier ring hardware for substrate processing systems

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E902 Notification of reason for refusal