KR102533847B1 - TCE들 (thermal control elements) 을 사용한 ESC 온도 추정을 위한 가상 계측 방법 - Google Patents

TCE들 (thermal control elements) 을 사용한 ESC 온도 추정을 위한 가상 계측 방법 Download PDF

Info

Publication number
KR102533847B1
KR102533847B1 KR1020197023345A KR20197023345A KR102533847B1 KR 102533847 B1 KR102533847 B1 KR 102533847B1 KR 1020197023345 A KR1020197023345 A KR 1020197023345A KR 20197023345 A KR20197023345 A KR 20197023345A KR 102533847 B1 KR102533847 B1 KR 102533847B1
Authority
KR
South Korea
Prior art keywords
model
temperature
substrate support
tces
temperatures
Prior art date
Application number
KR1020197023345A
Other languages
English (en)
Other versions
KR20190100972A (ko
Inventor
타오 장
조지 호세 자니노비치
프레드 이글리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20190100972A publication Critical patent/KR20190100972A/ko
Application granted granted Critical
Publication of KR102533847B1 publication Critical patent/KR102533847B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1917Control of temperature characterised by the use of electric means using digital means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Automation & Control Theory (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Measuring Temperature Or Quantity Of Heat (AREA)
  • Control Of Resistance Heating (AREA)
  • Investigating Or Analyzing Materials Using Thermal Means (AREA)
  • Control Of Temperature (AREA)

Abstract

기판 프로세싱 시스템의 기판 지지부를 위한 온도 제어기는 기판 지지부 내에 배치된 복수의 제 1 열적 제어 엘리먼트들 (TCEs: thermal control elements) 의 온도들과 기판 지지부의 제 1 온도 응답들을 상관시키는 제 1 모델을 저장하는 메모리를 포함한다. 제 1 온도 응답들은 기판 지지부의 표면 상의 위치들에 대응한다. 온도 추정 모듈이 제 1 TCE들의 레지스턴스들을 계산하고, 계산된 레지스턴스들에 기초하여, 제 1 TCE들의 온도들을 결정하고, 그리고 저장된 제 1 모델 및 제 1 TCE들의 결정된 온도들을 사용하여, 기판 지지부의 실제 온도 응답을 추정한다. 온도 제어기는 기판 지지부의 실제 온도 응답에 기초하여 제 1 TCE들을 제어하도록 구성된다.

Description

TCE들 (thermal control elements) 을 사용한 ESC 온도 추정을 위한 가상 계측 방법
관련 출원들에 대한 교차 참조
본 출원은 2017년 1월 20일 출원된 미국 실용신안 출원번호 제 15/411,389 호의 우선권을 주장한다. 참조된 출원의 전체 개시는 본 명세서에 참조로서 인용된다.
본 개시는 기판 프로세싱 시스템들, 보다 구체적으로 기판 프로세싱 시스템의 기판 지지부의 온도들을 추정하기 위한 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들이 반도체 웨이퍼들과 같은 기판들의 에칭, 증착, 및/또는 다른 처리를 수행하도록 사용될 수도 있다. 기판 상에서 수행될 수도 있는 예시적인 프로세스들은, 이로 제한되는 것은 아니지만, PECVD (plasma enhanced chemical vapor deposition) 프로세스, CEPVD (chemically enhanced plasma vapor deposition) 프로세스, 스퍼터링 PVD (physical vapor deposition) 프로세스, 이온 주입 프로세스, 및/또는 다른 에칭 (예를 들어, 화학적 에칭, 플라즈마 에칭, 반응성 이온 에칭, 등), 증착, 및 세정 프로세스들을 포함한다. 기판이 페데스탈, 정전 척 (ESC) 과 같은 기판 지지부 상에 배치될 수도 있다. 예를 들어, 에칭 동안, 하나 이상의 전구체들을 포함하는 가스 혼합물이 프로세싱 챔버 내로 도입되고 플라즈마가 기판을 에칭하도록 스트라이킹된다 (struck).
프로세스 단계들 동안, 시스템의 다양한 컴포넌트들 및 기판 자체의 온도들이 가변할 수도 있다. 이들 온도 변동들은 발생되는 기판들 상에 바람직하지 않은 효과들을 가질 수도 있다 (예를 들어, 불균일한 CD (critical dimensions)). 온도 변동들은 기판들 상에 바람직한 효과들을 생성할 수도 있다. 예를 들어, 불균일도들이 에칭 전 기판에 존재한다면, 온도의 공간적 제어 및 에칭 프로세스는 불균일도들을 정정하도록 사용될 수도 있다. 이에 따라, 기판 프로세싱 시스템들은 프로세싱 동안 다양한 컴포넌트들 및 기판들의 온도들을 추정하기 위한 다양한 시스템들 및 방법들을 구현할 수도 있다.
기판 프로세싱 시스템의 기판 지지부를 위한 온도 제어기는 기판 지지부 내에 배치된 복수의 제 1 열적 제어 엘리먼트들 (TCEs: thermal control elements) 의 온도들과 기판 지지부의 제 1 온도 응답들을 상관시키는 제 1 모델을 저장하는 메모리를 포함한다. 제 1 온도 응답들은 기판 지지부의 표면 상의 위치들에 대응한다. 온도 추정 모듈이 제 1 TCE들의 레지스턴스들을 계산하고, 계산된 레지스턴스들에 기초하여, 제 1 TCE들의 온도들을 결정하고, 그리고 저장된 제 1 모델 및 제 1 TCE들의 결정된 온도들을 사용하여, 기판 지지부의 실제 온도 응답을 추정한다. 온도 제어기는 기판 지지부의 실제 온도 응답에 기초하여 제 1 TCE들을 제어하도록 구성된다.
기판 프로세싱 시스템의 기판 지지부의 온도들을 추정하는 방법은 기판 지지부 내에 배치된 복수의 제 1 열적 제어 엘리먼트들 (TCEs: thermal control elements) 의 온도들과 기판 지지부의 제 1 온도 응답들을 상관시키는 제 1 모델을 저장하는 단계를 포함한다. 제 1 온도 응답들은 기판 지지부의 표면 상의 위치들에 대응한다. 방법은 제 1 TCE들의 레지스턴스들을 계산하는 단계; 계산된 레지스턴스들에 기초하여, 제 1 TCE들의 온도들을 결정하는 단계; 저장된 제 1 모델 및 제 1 TCE들의 결정된 온도들을 사용하여, 기판 지지부의 실제 온도 응답을 추정하는 단계; 및 기판 지지부의 실제 온도 응답에 기초하여 제 1 TCE들을 제어하는 단계를 더 포함한다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시의 원리들에 따른, 정전 척을 포함하는 예시적인 기판 프로세싱 시스템의 기능적 블록도이다.
도 2a는 본 개시의 원리들에 따른, 예시적인 정전 척이다.
도 2b는 본 개시의 원리들에 따른, 예시적인 정전 척의 존들 및 매크로 열적 제어 엘리먼트들을 예시한다.
도 2c는 본 개시의 원리들에 따른, 예시적인 정전 척의 존들 및 마이크로 열적 제어 엘리먼트들을 예시한다.
도 3은 본 개시의 원리들에 따른, 예시적인 온도 제어기이다.
도 4는 본 개시의 원리들에 따른, 예시적인 온도 추정 모듈이다.
도 5a는 본 개시의 원리들에 따른, 열적 제어 엘리먼트의 복수의 온도들 각각에 대해 측정된 전압 및 전류를 예시한다.
도 5b는 본 개시의 원리들에 따른, 열적 제어 엘리먼트의 복수의 온도들 각각에 대해 측정된 전압에 대해 계산된 레지스턴스를 예시한다.
도 6는 본 개시의 원리들에 따른, 열적 제어 엘리먼트의 레지스턴스와 온도 간의 관계를 예시한다.
도 7은 본 개시의 원리들에 따른, 정전 척의 표면 위치에서 예시적인 온도 응답을 예시한다.
도 8은 본 개시의 원리들에 따른, 예시적인 모델을 사용하여 정전 척의 추정된 온도를 예시한다.
도 9는 본 개시의 원리들에 따른, 예시적인 온도 추정 방법의 단계들을 예시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
기판 프로세싱 시스템에서, 정전 척 (ESC) 과 같은 기판 지지부의 온도들이 프로세스 단계들 동안 제어될 수도 있다. 예를 들어, 상이한 프로세스들 및 각각의 단계들은 기판이 상이한 온도들에서 유지될 것을 필요로 할 수도 있다. ESC의 콘택트 표면 온도가 목표된 온도들에서 기판을 유지하도록 제어될 수도 있다. 단지 예를 들면, ESC는 히팅 플레이트 (예를 들어, 세라믹 히팅 플레이트) 를 포함할 수도 있다. 기판은 히팅 플레이트 상에 배치될 수도 있다. 이에 따라, 히팅 플레이트의 온도는 기판의 목표된 온도들을 달성하도록 제어된다.
제조 프로세스의 변동들은 히팅 플레이트의 특성들 및 히팅 플레이트의 온도 제어 퍼포먼스에 대응하는 변동들을 유발할 수도 있다. 예를 들어, 변동들 (즉, 불균일도들) 은 이로 제한되는 것은 아니지만, 히팅 플레이트를 구성하는 층들의 열 전도도 및/또는 두께들의 국부적인 변동들, 머시닝된 (machined) 표면들의 평탄도 (flatness) 의 변동들, 및/또는 히팅 플레이트 내 각각의 열적 제어 엘리먼트들 (TCE들) 의 특성들의 변동들을 포함할 수도 있다. 이들 불균일도들은 열 전달시 국부적인 차들 (differences) (즉, 국부적인 온도 불균일도), 따라서 기판 온도들의 불균일도들을 발생시킬 수도 있다.
다른 시스템 변동들이 또한 온도 불균일도들에 영향을 줄 수도 있다. 다른 시스템 변동들은, 이로 제한되는 것은 아니지만, 상이한 기판 프로세싱 챔버들 사이의 변동들, 프로세스 단계들 사이의 변동들 (예를 들어, 플라즈마 단계의 존재, 타입, 양, 지속기간, 등), 챔버 내 온도와 ESC의 온도 사이의 차들, 프로세스 파라미터들 (예를 들어, 전력, 주파수, 등) 의 변동들, 개별 웨이퍼들 사이의 변동들, 및/또는 사용자 입력들/제약들의 변동들을 포함할 수도 있다.
동작 동안 기판 프로세싱 챔버 내 (즉, 인시츄 (in situ)) 일부 조건들을 정확하게 제어 및/또는 측정하는 것이 어려울 수도 있다. 이에 따라, 기판 프로세싱 시스템들은 기판 프로세싱 챔버 내 조건들을 추정하기 위해 가상 계측을 구현할 수도 있다. 예를 들어, 가상 계측 시스템들 및 방법들이 다른 조건들 및 특성들에 실제 측정된 조건들 (예를 들어, 각각의 센서들을 사용하여 이루어진 인시츄 측정들) 을 연관시키는 수학적 모델들을 구현할 수도 있다.
본 개시의 원리들에 따른 시스템들 및 방법들은 ESC의 온도들 (예를 들어, ESC 상의 프로세싱될 웨이퍼의 온도들에 대응할 수도 있는, ESC의 표면 온도들) 을 추정하기 위한 가상 계측을 구현한다. 예를 들어, 일부 기판 프로세싱 시스템들은 ESC의 온도 불균일도들을 보상하기 위해 매크로 TCE들 및 마이크로 TCE들의 조합을 구현할 수도 있다. 일 예시적인 구현예에서, 일 또는 복수의 존들을 포함하는 ESC (예를 들어, 멀티-존 ESC) 는 히팅 플레이트의 존 각각에 대한 매크로 TCE들 및 히팅 플레이트 전체에 분포된 복수의 마이크로 TCE들을 각각 포함할 수도 있다. (본 명세서에서 "히터들"로 지칭될 수도 있는) 복수의 마이크로 TCE들은 ESC의 존 각각의 온도 불균일도들을 보상하기 위해 개별적으로 제어될 수도 있다. 본 개시의 시스템들 및 방법들은 마이크로 TCE들의 동작 특성들의 함수로서 ESC 온도들을 모델링한다. 예를 들어, 본 개시의 원리들에 따른 마이크로 TCE들은 높은 열적 센서티비티 (센서티비티) 를 갖는 재료 (예를 들어, 텅스텐 금속 합금들) 를 포함할 수도 있다.
이러한 방식으로, 프로세싱 동안 기판의 온도들은 프로세스 변동들이 기판, ESC, 및 기판 프로세싱 시스템의 다른 컴포넌트들 (예를 들어, 베이스 플레이트 온도, 베이스 플레이트에 공급된 전력, 등) 간의 관계들에 영향을 주기 때문에 보다 정확하게 추정될 수도 있다. 일부 예들에서, ESC의 존들 각각의 부가적인 온도 센서들이 생략될 수도 있다. ESC 온도 추정에 대하여 기술되었지만, 본 명세서에 기술된 바와 같은 본 개시의 원리들은 웨이퍼 레벨 바이어스 RF 전압, 에칭 레이트, 등과 같은 다른 기판 프로세싱 변수들을 추정하도록 적용될 수도 있다.
이제 도 1을 참조하면, RF 플라즈마를 사용한 에칭을 수행하기 위한 예시적인 기판 프로세싱 시스템 (100) 이 도시된다. 기판 프로세싱 시스템 (100) 은 기판 프로세싱 시스템 (100) 의 다른 컴포넌트들을 둘러싸고 RF 플라즈마를 담는 프로세싱 챔버 (102) 를 포함한다. 기판 프로세싱 시스템 (100) 은 상부 전극 (104) 및 ESC (electrostatic chuck) (106) 와 같은 기판 지지부를 포함한다. 동작 동안, 기판 (108) 이 ESC (106) 상에 배치된다.
단지 예를 들면, 상부 전극 (104) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드 (109) 를 포함할 수도 있다. 샤워헤드 (109) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함하는 스템 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 실린더형이고, 프로세싱 챔버의 상단 표면으로부터 이격되는 위치에 스템 부분의 반대편 단부로부터 외향으로 방사상으로 연장한다. 샤워헤드의 베이스 부분의 기판-대면 표면 또는 대면 플레이트는 프로세스 가스 또는 퍼지 가스가 흐르는 복수의 홀들을 포함한다. 대안적으로, 상부 전극 (104) 은 도전 플레이트를 포함할 수도 있고, 프로세스 가스들은 또 다른 방식으로 도입될 수도 있다.
ESC (106) 는 하부 전극으로서 역할을 하는 도전성 베이스 플레이트 (110) 를 포함한다. 베이스 플레이트 (110) 는 세라믹 멀티-존 히팅 플레이트에 대응할 수도 있는, 히팅 플레이트 (112) 를 지지한다. 내열층 (114) 이 히팅 플레이트 (112) 와 베이스 플레이트 (110) 사이에 배치될 수도 있다. 베이스 플레이트 (110) 는 베이스 플레이트 (110) 를 통해 냉각제를 흘리기 위한 하나 이상의 냉각제 채널들 (116) 을 포함할 수도 있다.
RF 생성 시스템 (120) 이 RF 전압을 생성하고 상부 전극 (104) 및 하부 전극 (예를 들어, ESC (106) 의 베이스 플레이트 (110)) 중 하나로 출력한다. 상부 전극 (104) 및 베이스 플레이트 (110) 중 다른 하나는 DC 접지될 수도 있고, AC 접지될 수도 있고, 또는 플로팅할 수도 있다. 단지 예를 들면, RF 생성 시스템 (120) 은 매칭 및 분배 네트워크 (124) 에 의해 상부 전극 (104) 로 또는 베이스 플레이트 (110) 로 피딩되는 (feed), RF 전압을 생성하는 RF 전압 생성기 (122) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도적으로 또는 리모트로 생성될 수도 있다.
가스 전달 시스템 (130) 은 하나 이상의 가스 소스들 (132-1, 132-2,… 및 132-N) (집합적으로 가스 소스들 (132)), 여기서 N은 0보다 큰 정수들이다. 가스 소스들은 하나 이상의 전구체들 및 이들의 혼합물들을 공급한다. 가스 소스들은 또한 퍼지 가스를 공급할 수도 있다. 기화된 전구체가 또한 사용될 수도 있다. 가스 소스들 (132) 은 밸브들 (134-1, 134-2, … 및 134-N) (집합적으로 밸브들 (134)) 및 MFC들 (mass flow controllers) (136-1, 136-2, … 및 136-N) (집합적으로 MFC들 (136)) 에 의해 매니폴드 (140) 에 연결된다. 매니폴드 (140) 의 출력이 프로세싱 챔버 (102) 로 피딩된다. 단지 예를 들면, 매니폴드 (140) 의 출력은 샤워헤드 (109) 로 피딩된다.
온도 제어기 (142) 가 히팅 플레이트 (112) 에 배치된 복수의 열적 제어 엘리먼트들 (TCE들) (144) 에 연결될 수도 있다. 예를 들어, TCE들 (144) 은, 도 2a 및 도 2b에 보다 상세히 기술된 바와 같이, 이로 제한되는 것은 아니지만, 멀티-존 히팅 플레이트의 존 각각에 대응하는 각각의 매크로 TCE들 및/또는 멀티-존 히팅 플레이트의 복수의 존들에 걸쳐 배치된 마이크로 TCE들의 어레이를 포함할 수도 있다. 온도 제어기 (142) 는 ESC (106) 및 기판 (108) 의 온도를 제어하기 위해 복수의 TCE들 (144) 을 제어하도록 사용될 수도 있다.
온도 제어기 (142) 는 채널들 (116) 을 통한 냉각제 플로우를 제어하도록 냉각제 어셈블리 (146) 와 통신할 수도 있다. 예를 들어, 냉각제 어셈블리 (146) 는 냉각제 펌프 및 저장부를 포함할 수도 있다. 온도 제어기 (142) 는 ESC (106) 를 냉각하기 위해 채널들 (116) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (146) 를 동작시킨다.
밸브 (150) 및 펌프 (152) 가 프로세싱 챔버 (102) 로부터 반응물질들을 배출하도록 사용될 수도 있다. 시스템 제어기 (160) 가 기판 프로세싱 시스템 (100) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 로봇 (170) 이 ESC (106) 상으로 기판들을 전달하고 그리고 ESC (106) 로부터 기판들을 제거하도록 사용될 수도 있다. 예를 들어, 로봇 (170) 은 ESC (106) 와 로드록 (172) 사이에서 기판들을 이송시킬 수도 있다. 분리된 제어기들로서 도시되었지만, 온도 제어기 (142) 는 시스템 제어기 (160) 내에서 구현될 수도 있다. 온도 제어기 (142) 는 또한 본 개시의 원리들에 따라 ESC (106) 의 온도들을 추정하도록 하나 이상의 모델들을 구현하도록 구성될 수도 있다.
이제 도 2a, 도 2b 및 도 2c를 참조하면, 예시적인 ESC (200) 가 도시된다. 온도 제어기 (204) 가 하나 이상의 전기 또는 통신 접속부들 (208) 을 통해 ESC (200) 와 통신한다. 예를 들어, 전기 접속부들 (208) 은 집합적으로 매크로 TCE들 (212) 로 참조되는, 매크로 TCE들 (212-1, 212-2, 212-3, 및 212-4) 및/또는 마이크로 TCE들 (216) 을 제어하도록 전압/전력을 제공하기 위한 접속부들을 포함할 수도 있다. 접속부들 (208) 은 베이스 플레이트 (224) 로부터 (단지 예를 들면, 베이스 플레이트 센서로부터) 온도 피드백, 마이크로 TCE들 (216) 로 제공된 전압 및/또는 전류의 측정값들, RF 플라즈마 소스 (예를 들어, TCP (transformer coupled plasma) 소스) (228) 로부터 제공된 RF 전력을 나타내는 피드백, 바이어스 RF 소스 (232) 로부터 ESC (200) 로 제공된 바이어스 RF 전력을 나타내는 피드백, 등과 같은 피드백을 수신하기 위한 접속부들을 더 포함할 수도 있다. 도 2b 및 도 2c에 도시된 바와 같이 마이크로 TCE들 (216) 이 매크로 TCE들 (212) 과 원형, 동심 배열으로 정렬되지만, 매크로 TCE들 (212) 에 대한 마이크로 TCE들 (216) 의 다른 배열들이 사용될 수도 있다.
도시된 바와 같이, ESC (200) 는 집합적으로 존들 (236) 로 지칭되는, 존들 (236-1, 236-2, 236-3, 및 236-4) 을 포함하는 멀티-존 ESC이다. 4 개의 동심 존들 (236) 로 도시되었지만, 실시예들에서 ESC (200) 는 1, 2, 3 또는 4보다 많은 존들 (236) 을 포함할 수도 있다. 존들 (236) 의 형상들은 가변할 수도 있다. 예를 들어, 존들 (236) 은 사분원들 (quadrants) 또는 또 다른 그리드-형 배열을 제공할 수도 있다. 존들 (236) 각각은, 단지 예를 들면, 각각의 매크로 TCE들 (212) 을 포함한다. 예를 들어, 베이스 플레이트 (224) 는 냉각제 채널들 (240), 베이스 플레이트 (224) 상에 형성된 내열층 (244), 및 내열층 (244) 상에 형성된 멀티-존 세라믹 히팅 플레이트 (248) 를 포함한다. 히팅 플레이트 (248) 는 도 2b에 도시된 바와 같은 제 1 층 (252) 및 도 2c에 도시된 바와 같은 제 2 층 (256) 을 포함하는 복수의 본딩된 층들을 포함할 수도 있다. 제 1 층 (252) 은 매크로 TCE들 (212) 을 포함하고 제 2 층 (256) 은 복수의 마이크로 TCE들 (216) 을 포함한다.
온도 제어기 (204) 는 목표된 설정점 온도에 따라 매크로 TCE들 (212) 및 마이크로 TCE들 (216) 을 제어한다. 예를 들어, 온도 제어기 (204) 는 (예를 들어, 도 1에 도시된 바와 같이 시스템 제어기 (160) 로부터) 하나 이상의 존들 (236) 에 대한 설정점 온도를 수신할 수도 있다. 단지 예를 들면, 온도 제어기 (204) 는 존들 (236) 모두 또는 일부에 대해 동일한 설정점 온도 및/또는 존들 (236) 각각에 대한 상이한 각각의 설정점 온도들을 수신할 수도 있다. 존들 (236) 각각에 대한 설정점 온도들은 상이한 프로세스들 및 프로세스 각각의 상이한 단계들에 걸쳐 가변할 수도 있다.
온도 제어기 (204) 는 각각의 설정점 온도들 및 온도 피드백에 기초하여 존들 (236) 각각에 대한 매크로 TCE들 (212) 을 제어한다. 예를 들어, 온도 제어기 (204) 는 설정점 온도들을 달성하기 위해 매크로 TCE들 (212) 각각에 제공된 전력 (예를 들어, 전류) 을 개별적으로 조정한다. 매크로 TCE들 (212) 은 각각 단일 저항성 코일 또는 도 2b의 대시선들로 개략적으로 나타낸 다른 구조체들을 포함할 수도 있다. 이에 따라, 매크로 TCE들 (212) 중 하나를 조정하는 것은 전체 각각의 존 (236) 의 온도에 영향을 주고, 또한 존들 (236) 중 다른 존들에 영향을 줄 수도 있다.
반대로, 온도 제어기 (204) 는 존들 (236) 의 온도들을 국부적으로 조정하도록 마이크로 TCE들 (216) 각각을 개별적으로 제어할 수도 있다. 예를 들어, 마이크로 TCE (216) 각각이 존들 (236) 중 하나 내에 전체적으로 위치될 수도 있지만, 마이크로 TCE들 (216) 중 임의의 마이크로 TCE의 열적 출력을 조정하는 것은 복수의 존들 (236) 에 걸쳐 그리고 히팅 플레이트 (248) 인근에 열적 영향을 가질 수도 있다. 이에 따라, 하나 이상의 마이크로 TCE들 (216) 이 존들 (236) 의 온도들을 더 조정하도록 선택적으로 활성화되고 그리고/또는 비활성화될 수도 있다. 온도 제어기 (204) 는, 이하에 보다 상세히 기술된 바와 같이, ESC (200) 의 온도들을 추정하기 위해 본 개시에 따른 시스템들 및 방법들을 구현한다.
이제 도 3을 참조하면, 본 개시의 원리들에 따른 예시적인 온도 제어기 (300) 가 (실시예들에서, 단일 제어기로 구현될 수도 있는) 매크로 TCE 제어기 (304) 및 마이크로 TCE 제어기 (308), 메모리 (312), 및 (사용자 입력들을 수신하기 위해, 예를 들어, 도 1에 도시된 바와 같은 시스템 제어기 (160) 와 통신하는 등을 위한) 인터페이스 (316), 및ESC 온도 추정 모듈 (320) 을 포함한다. 단지 예를 들면, 메모리 (312) 는 비휘발성 메모리, 예컨대 플래시 메모리를 포함할 수도 있다. 온도 제어기 (300) 는 인터페이스 (316) 를 통해 시스템 제어기 (160) 로부터 프로세스 설정점 온도들 (예를 들어, 각각의 프로세스 단계들에 대한 목표된 설정점 온도들) 및/또는 다른 파라미터들을 수신한다. 인터페이스 (316) 는 프로세스 설정점 온도들을 매크로 TCE 제어기 (304) 로 제공한다. 프로세스 설정점 온도들은 모든 존 (236) 에 대한 단일 설정점 온도 및/또는 각각의 존들 (236) 각각에 대한 상이한 프로세스 설정점 온도들을 포함할 수도 있다. 매크로 TCE 제어기 (304) 는 수신된 프로세스 설정점 또는 설정점들에 따라 매크로 TCE들 (212) 을 제어한다. 이어서 마이크로 TCE들 (216) 은 존들 (236) 각각 전반에서 프로세스 설정점을 달성하기 위해 제어될 수 있어서, 존들 (236) 의 온도 불균일도들을 보상한다.
ESC 온도 추정 모듈 (320) 은 매크로 TCE 제어기 (304), 마이크로 TCE 제어기 (308), 및 하나 이상의 입력들 (324) 에 의해 제공된 피드백에 따라 ESC (200) 의 온도를 추정한다. 추정된 ESC 온도는 예를 들어, 존 온도들 (즉, 매크로 TCE 제어기 (304) 에 의해 제어되는 존들 (236) 의 온도들), 국부적 온도들 (즉, 마이크로 TCE 제어기 (308) 에 의해 제어되는), 바이어스 RF 전력, TCP RF 전력, 및 베이스 플레이트 온도에 종속될 수도 있다. 온도 추정 모듈 (320) 은 ESC 온도와 연관된 입력들 각각에 대한 (예를 들어, 메모리 (312) 에 저장된) 각각의 모델들에 따라 ESC 온도를 추정한다. 단지 예를 들면, 모델들 각각은 입력들 각각에 대해 ESC 온도에 대한 각각의 온도 요인들을 연관시킨다.
일 예에서, 온도 추정 모듈 (320) 은 마이크로 TCE들 (216) 각각과 연관된 전압 및 전류의 지표들을 수신한다. 예를 들어, 온도 추정 모듈 (320) 은 마이크로 TCE 제어기 (308) 로부터 마이크로 TCE들 (216) 로 제공된 전압들의 지표들을 수신할 수도 있고, 마이크로 TCE들 (216) 과 직렬로 연결된 각각의 전류 센서들 (328) 을 통해 마이크로 TCE들 (216) 을 통해 흐르는 전류들의 측정값들을 수신할 수도 있다. 이어서 마이크로 TCE들 (216) 각각의 레지스턴스 각각이 수신된 전압 및 전류 정보에 따라 (예를 들어, 모델을 사용하여) 계산될 수도 있다. 마이크로 TCE들 (216) 각각의 레지스턴스는 마이크로 TCE들 (216) 이 내열성 엘리먼트들을 포함하기 때문에 대응하는 위치의 ESC (200) 의 온도를 나타낸다. 즉, 마이크로 TCE들 (216) 각각의 레지스턴스는 온도의 함수이고, 따라서 마이크로 TCE들 (216) 각각의 계산된 레지스턴스는 대응하는 온도에 맵핑될 수도 있다. 온도 계산 모듈 (320) 은 이하에 보다 상세히 기술된 바와 같이 마이크로 TCE 온도들 각각에 따라 ESC 온도에 대한 온도 요인을 계산한다.
이제 도 4를 참조하면, 예시적인 ESC 온도 추정 모듈 (400) 은 입력들 (408) 중 각각의 입력을 수신하고 이에 따라, 온도 응답으로 지칭될 수도 있는, ESC 온도에 대한 대응하는 요인을 생성하도록 구성된 하나 이상의 모듈들 (404) 을 포함한다. 온도 응답들은 각각 모델 계수 (예를 들어, G1, G2, G3, G4, 및 G5) 와the 입력들 (408) 의 각각 입력의 곱에 대응할 수도 있다. 예를 들어, 입력들 (408) 은 베이스 플레이트 온도 (412), 바이어스 RF 전력 (416), TCP RF 전력 (420), 마이크로 TCE들 (216) 각각에 대한 전압 및 전류 측정값들 (424), 및 매크로 TCE들 (212) 로 제공된 전력 입력들 (428) 을 포함할 수도 있다.
모듈들 (404) 은 TCP RF 모듈 (432), 바이어스 RF 모듈 (436), 베이스 플레이트 온도 모듈 (440), 마이크로 TCE 온도 모듈 (444), 및 존 온도 모듈 (448) 을 포함할 수도 있다. 온도 추정 모듈 (400) 은 레지스턴스 모듈 (452) 및 레지스턴스-대-온도 모듈 (456) 을 더 포함할 수도 있다. 예를 들어, 레지스턴스 모듈 (452) 은 대응하는 전압 및 전류 측정값들 (424) 에 기초하여 마이크로 TCE들 (216) 각각의 레지스턴스를 계산한다. 레지스턴스-대-온도 모듈 (456) 은 레지스턴스 모듈 (452) 에 의해 계산된 레지스턴스들에 기초하여 (예를 들어, 마이크로 TCE들 (216) 각각에 대해 온도에 레지스턴스를 상관시키는 맵을 사용하여) 온도들을 계산한다. 레지스턴스-대-온도 모듈 (456) 은 계산된 레지스턴스들을 마이크로 TCE 온도 모듈 (444) 에 제공한다.
모듈들 (404) 각각은 각각의 입력들 (408) 에 기초하여 온도 응답들을 생성하고 출력하도록 각각의 모델을 구현한다. 단지 예를 들면, 이하에 보다 상세히 기술된 바와 같이, 모듈들 (444, 448, 440, 436, 및 432) 에 의해 구현된 모델들은 각각 G1, G2, G3, G4, 및 G5로 나타낸다. 모듈들 (404) 의 출력들은 ESC 온도 추정치 (464) 를 생성하도록 합산 노드 (460) 에서 합산된다. ESC (200) 의 온도들은 ESC 온도 추정치 (464) 를 사ŸG아여 목표된 온도를 달성하도록 더 제어될 수 있다. 예를 들어, 매크로 TCE들 (212) 및 마이크로 TCE들 (216) 에 제공된 전압들/전력은 목표된 온도들을 보다 정확하게 달성하기 위해 ESC 온도 추정치 (464) 에 기초하여 조정될 수도 있다.
이에 따라, 온도 추정치 (464) ("temp") 는 temp = G1 * MicroTemp + G2 * MacroPower + G3 * BaseplateTemp + G4 * BiasPower + G5 * TCPPower에 대응하고, 여기서 MicroTemp, MacroPower, BaseplateTemp, BiasPower, 및 TCPPower는 모듈들 (444, 448, 440, 436, 및 432) 각각으로의 입력들 (408) 에 대응한다. 이에 따라, 입력들 (408) 각각은 모델들 G1, G2, G3, G4, 및 G5 각각의 하나에 의해 수정된다.
단지 예를 들면, 모델 G2는
Figure 112019081309713-pct00001
에 대응할 수도 있고, 여기서 k는 매크로 TCE들 (212) 과 연관된 설비 이득이고, L은 매크로 TCE들 (212) 과 연관된 시간 지연이고, 그리고 T는 매크로 TCE들 (212) 과 연관된 시간 상수이다. 예를 들어, 시간 지연은 온도 응답 지연에 대응할 수도 있다. 모델 G3은
Figure 112019081309713-pct00002
에 대응할 수도 있고, 여기서 kbase 베이스 플레이트와 연관된 설비 이득이고, Lbase 는 베이스 플레이트와 연관된 시간 지연이고, 그리고 Tbase 는 베이스 플레이트와 연관된 시간 상수이다. 모델 G4는
Figure 112019081309713-pct00003
에 대응할 수도 있고, 여기서 kbias 는 바이어스 RF 전력과 연관된 설비 이득이고, Lbias 는 바이어스 RF 전력과 연관된 시간 지연이고, Tbias 는 바이어스 RF 전력과 연관된 시간 상수이다. 모델 G5 는
Figure 112019081309713-pct00004
에 대응할 수도 있고, 여기서 ktcp 는 TCP RF 전력과 연관된 설비 이득이고, Ltcp 는 TCP RF 전력과 연관된 시간 지연이고, 그리고 Ttcp 는 TCP RF 전력과 연관된 시간 상수이다.
모델 G1은 도 5a에 도시된 바와 같이, 복수의 온도들에서 마이크로 TCE들 (216) 각각에 대한 전압 및 전류를 측정함으로써 계산될 수도 있다. 일 예에서, (예를 들어, 도 2a, 도 2b, 및 도 2c에 도시된 바와 같이, ESC (200) 내에 임베딩된 마이크로 TCE들 (216) 에 대응하는) 마이크로 TCE들 (216) 의 어레이가 목표된 온도를 유지하도록 구성된 오븐 또는 프로세스 챔버 내에 배치될 수도 있다. (예를 들어, -40 내지 130 ℃의 범위의) 복수의 온도들 각각의 오븐을 사용하여, 전압이 마이크로 TCE들 (216) 로 제공되고 대응하는 전류가 측정된다. 이러한 방식으로, 각각의 온도들 각각에서 마이크로 TCE들 (216) 각각에 대한 대응하는 전압들 및 전류들이 결정될 수도 있다.
이어서 온도 각각에서 레지스턴스가 측정된 전압들 및 전류들을 사용하여 계산될 수도 있다. 도 5b는 복수의 온도들 각각에 대한 전압과 계산된 레지스턴스 간의 관계를 예시한다. 이에 따라, 온도 센서티비티 (즉, 온도의 변화들에 대한 마이크로 TCE들 (216) 중 선택된 일 마이크로 TCE의 레지스턴스의 센서티비티) 가 결정될 수도 있다. 이 결정은 마이크로 TCE들 (216) 중 하나, 마이크로 TCE들 (216) 중 미리 결정된 일부, 마이크로 TCE들 (216) 모두, 등에 대해 수행될 수도 있다. 도 6에 도시된 바와 같이, 마이크로 TCE들 (216) 의 레지스턴스와 대응하는 온도 간의 관계는 대략 선형이다. 이러한 방식으로, 레지스턴스-대-온도 모듈 (456) 은 마이크로 TCE들 (216) 각각에 대해 추정된 온도에 (예를 들어, 전압 및 전류 측정값들 (424) 에 기초하여 계산된) 레지스턴스를 상관시키는 맵 또는 모델을 구현할 수도 있다.
ESC (200) 의 각각의 위치들에서 온도 응답들은 마이크로 TCE들 (216) 각각에 대해 결정될 수도 있다. 예를 들어, 써모커플 또는 다른 온도 센서가 마이크로 TCE들 (216) 중 선택된 하나에 대응하는 위치에서 ESC (200) 의 상부 표면 상에 배치될 수도 있다. 도 7은 대응하는 마이크로 TCE들 (216) 의 온도 (704) 에 상대적인 ESC (200) 표면 위치에서 온도 응답 (700) 을 예시한다. 도시된 바와 같이, 온도 (704) 는 ESC (200) 의 온도 응답 (700) 으로부터 대략 5 내지 8 ℃ (즉, 보다 크게) 오프셋된다. 또한, 마이크로 TCE (216) 의 온도 응답은 ESC (200) 의 온도 응답 (700) 보다 빠르다. 예를 들어, 온도 응답 (700) 은 온도 (704) 의 상승에 대해 대략 4 초의 지연을 가질 수도 있다. 도시된 바와 같이, 마이크로 TCE의 온도 응답의 시간 상수는 대략 9 초인 한편, 온도 응답 (700) 의 시간 상수는 대략 14 초이다.
모델 G1은 ESC (200) 의 온도 응답 (704) 과 마이크로 TCE (216) 의 대응하는 추정된 온도 (700) 사이에서 관찰된 관계 (예를 들어, 도 7에 도시된 바와 같은) 에 따라 계산된다. 일 예에서, 모델 G1은:
Figure 112019081309713-pct00005
에 대응할 수도 있고, 여기서 Offset, Gain, 지연 L은 미리 결정된 상수들에 대응하고, s는 초 단위의 시간이다. 예를 들어, Offset 는 온도 (704) 와 온도 응답 (700) 간의 오프셋에 대응할 수도 있다. 도 8은 ESC (200) 의 실제 측정된 온도에 대해 모델 G1을 사용하여 ESC (200) 의 추정된 온도를 예시한다. 선형 동적 모델들로 본 명세서에 기술되지만, 일부 예들에서 하나 이상의 모델들 G1, G2, G3, G4, 및 G5 는 다른 모델 타입들, 예컨대 보다 고차의 모델들, 비선형 모델들, 등에 대응할 수도 있다.
이제 도 9를 참조하면, 예시적인 ESC 온도 추정 방법 (900) 이 904에서 시작된다. 908에서, 방법 (900) 은 복수의 온도들에서 ESC의 복수의 히팅 엘리먼트들 또는 히터들 (예를 들어, 마이크로 TCE들 (216)) 의 전압들 및 전류들을 결정한다. 912에서, 방법 (900) 은 결정된 전압들 및 전류들을 사용하여 복수의 온도들 각각에서 마이크로 TCE들 (216) 의 레지스턴스들을 계산한다. 916에서, 방법은 복수의 온도들 각각에서 전압들과 계산된 레지스턴스들 간의 관계를 나타내는 데이터를 저장한다. 예를 들어, 저장된 데이터는 레지스턴스-대-온도 모듈 (456) 에 의해 구현된 맵 또는 모델에 통합될 수도 있다. 920에서, 방법 (900) 은 ESC (200) 상의 각각의 표면 위치에 마이크로 TCE들 (216) 의 온도들을 상관시키는 모델을 결정하고 저장한다.
924 에서, 기판 프로세싱 동안, 방법 (900) (예를 들어, ESC 온도 추정 모듈 (400)) 은 마이크로 TCE들 (216) 의 전압들 및 전류들을 결정한다. 928에서, 방법 (900) (예를 들어, 레지스턴스 모듈 (452)) 은 결정된 전압들 및 전류들에 기초하여 마이크로 TCE들 (216) 의 레지스턴스들을 결정한다. 932에서, 방법 (900) (예를 들어, 레지스턴스-대-온도 모듈 (456)) 은 레지스턴스들에 기초하여 마이크로 TCE들 (216) 의 온도들을 결정한다. 936에서, 방법 (900) (예를 들어, 저장된 모델을 구현하는 마이크로 TCE 온도 모듈 (444)) 은 마이크로 TCE들 (216) 의 결정된 온도들에 기초하여 ESC (200) 의 각각의 표면 온도 응답들을 결정한다. 940에서, 방법 (900) (예를 들어, 온도 추정 모듈 (400)) 은 ESC (200) 의 추정된 온도를 생성하고 출력한다. 예를 들어, ESC (200) 의 추정된 온도는 936에서 결정된 온도 응답들, 뿐만 아니라 도 4에서 상기 기술된 바와 같이 각각의 모델들 G2, G3, G4, 및 G5를 사용하여 다른 입력들에 계산된 온도 응답들에 기초하여 생성될 수도 있다. 방법 (900) 은 944에서 종료된다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 웨이퍼의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (16)

  1. 기판 프로세싱 시스템의 기판 지지부를 위한 온도 제어기에 있어서,
    (i) 기판 지지부 내에 배치된 복수의 제 1 열적 제어 엘리먼트들 (TCEs: thermal control elements) 의 온도들과 (ii) 상기 기판 지지부의 제 1 온도 응답들을 상관시키는 제 1 모델을 저장하는 메모리로서, 상기 제 1 온도 응답들은 상기 기판 지지부의 표면 상의 위치들에 대응하고, 그리고 상기 제 1 TCE들은 상기 기판 지지부를 가열하도록 구성되는, 상기 메모리; 및
    (i) 상기 제 1 TCE들의 레지스턴스들을 계산하고, (ii) 상기 계산된 레지스턴스들에 기초하여, 상기 제 1 TCE들의 상기 온도들을 결정하고, 그리고 (iii) 상기 저장된 제 1 모델 및 상기 계산된 레지스턴스들에 기초하여 결정된 상기 제 1 TCE들의 상기 온도들을 사용하여, 상기 기판 지지부의 실제 온도 응답을 추정하는, 온도 추정 모듈을 포함하고,
    상기 온도 제어기는 상기 기판 지지부의 상기 실제 온도 응답에 기초하여 상기 기판 지지부를 가열하기 위해 상기 제 1 TCE들을 제어하도록 구성되고,
    상기 메모리는,
    (i) 상기 기판 지지부 내에 배치된 제 2 TCE들로 제공된 전력과 (ii) 상기 기판 지지부의 제 2 온도 응답들을 상관시키는 제 2 모델,
    (i) 상기 기판 지지부의 베이스 플레이트의 온도와 (ii) 상기 기판 지지부의 제 3 온도 응답들을 상관시키는 제 3 모델,
    (i) 상기 기판 지지부로 제공된 바이어스 RF (radio frequency) 전력과 (ii) 상기 기판 지지부의 제 4 온도 응답들을 상관시키는 제 4 모델, 및
    (i) 상기 기판 프로세싱 시스템으로 제공된 플라즈마 RF 전력과 (ii) 상기 기판 지지부의 제 5 온도 응답들을 상관시키는 제 5 모델 중 적어도 하나를 더 저장하는, 온도 제어기.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 기판 지지부의 상기 실제 온도 응답을 추정하기 위해, 상기 온도 추정 모듈은 또한 상기 저장된 상기 제 2 모델, 상기 제 3 모델, 상기 제 4 모델, 및 상기 제 5 모델 중 적어도 하나에 기초하여 상기 실제 온도 응답을 추정하는, 온도 제어기.
  4. 제 1 항에 있어서,
    상기 온도 추정 모듈은 상기 제 1 모델과 상기 제 2 모델, 상기 제 3 모델, 상기 제 4 모델, 및 상기 제 5 모델 중 상기 적어도 하나의 출력들의 합에 기초하여 상기 실제 온도 응답을 추정하는, 온도 제어기.
  5. 제 1 항에 있어서,
    상기 제 2 모델, 상기 제 3 모델, 상기 제 4 모델, 및 상기 제 5 모델 중 상기 적어도 하나는
    Figure 112023008909511-pct00025
    에 대응하고, 여기서 k는 설비 이득 (plant gain) 이고, L은 시간 지연이고, 그리고 T는 시간 상수인, 온도 제어기.
  6. 제 5 항에 있어서,
    상기 제 2 모델, 상기 제 3 모델, 상기 제 4 모델, 및 상기 제 5 모델 중 상기 적어도 하나의 출력은
    Figure 112021007021119-pct00026
    과 각각의 입력의 곱 (product) 에 대응하는, 온도 제어기.
  7. 제 1 항에 있어서,
    상기 제 1 모델은
    Figure 112021007021119-pct00027
    에 대응하고, 여기서 Offset은 상기 제 1 TCE들의 온도들과 상기 제 1 온도 응답들 사이의 오프셋에 대응하고, Gain은 상기 제 1 모델의 이득에 대응하고, L은 시간 지연에 대응하고, 그리고 s는 초 단위의 시간에 대응하는, 온도 제어기.
  8. 제 1 항에 있어서,
    상기 메모리는 (i) 상기 계산된 레지스턴스들과 (ii) 상기 제 1 TCE들의 상기 온도들을 상관시키는 제 2 모델을 저장하고, 그리고 상기 온도 추정 모듈은 상기 제 2 모델 및 상기 계산된 레지스턴스들을 사용하여 상기 제 1 TCE들의 상기 온도들을 결정하는, 온도 제어기.
  9. 기판 프로세싱 시스템의 기판 지지부의 온도들을 추정하는 방법에 있어서,
    (i) 기판 지지부 내에 배치된 복수의 제 1 열적 제어 엘리먼트들 (TCEs: thermal control elements) 의 온도들과 (ii) 상기 기판 지지부의 제 1 온도 응답들을 상관시키는 제 1 모델을 저장하는 단계로서, 상기 제 1 온도 응답들은 상기 기판 지지부의 표면 상의 위치들에 대응하고, 상기 제 1 TCE들은 상기 기판 지지부를 가열하도록 구성되는, 상기 제 1 모델을 저장하는 단계;
    상기 제 1 TCE들의 레지스턴스들을 계산하는 단계;
    상기 계산된 레지스턴스들에 기초하여, 상기 제 1 TCE들의 온도들을 결정하는 단계;
    상기 저장된 제 1 모델 및 상기 계산된 레지스턴스들에 기초하여 결정된 상기 제 1 TCE들의 상기 온도들을 사용하여, 상기 기판 지지부의 실제 온도 응답을 추정하는 단계;
    상기 기판 지지부의 상기 실제 온도 응답에 기초하여 상기 기판 지지부를 가열하기 위해 상기 제 1 TCE들을 제어하는 단계; 및
    (i) 상기 기판 지지부 내에 배치된 제 2 TCE들로 제공된 전력과 (ii) 상기 기판 지지부의 제 2 온도 응답들을 상관시키는 제 2 모델,
    (i) 상기 기판 지지부의 베이스 플레이트의 온도와 (ii) 상기 기판 지지부의 제 3 온도 응답들을 상관시키는 제 3 모델,
    (i) 상기 기판 지지부로 제공된 바이어스 RF (radio frequency) 전력과 (ii) 상기 기판 지지부의 제 4 온도 응답들을 상관시키는 제 4 모델, 및
    (i) 상기 기판 프로세싱 시스템으로 제공된 플라즈마 RF 전력과 (ii) 상기 기판 지지부의 제 5 온도 응답들을 상관시키는 제 5 모델 중 적어도 하나를 저장하는 단계를 포함하는, 온도 추정 방법.
  10. 삭제
  11. 제 9 항에 있어서,
    상기 기판 지지부의 상기 실제 온도 응답을 추정하는 단계는, 상기 저장된 상기 제 2 모델, 상기 제 3 모델, 상기 제 4 모델, 및 상기 제 5 모델 중 적어도 하나에 또한 기초하여 상기 실제 온도 응답을 추정하는 단계를 포함하는, 온도 추정 방법.
  12. 제 9 항에 있어서,
    상기 실제 온도 응답을 추정하는 단계는 상기 제 1 모델과 상기 제 2 모델, 상기 제 3 모델, 상기 제 4 모델, 및 상기 제 5 모델 중 상기 적어도 하나의 출력들의 합에 기초하여 상기 실제 온도 응답을 추정하는 단계를 포함하는, 온도 추정 방법.
  13. 제 9 항에 있어서,
    상기 제 2 모델, 상기 제 3 모델, 상기 제 4 모델, 및 상기 제 5 모델 중 상기 적어도 하나는
    Figure 112023008909511-pct00028
    에 대응하고, 여기서 k는 설비 이득 (plant gain) 이고, L은 시간 지연이고, 그리고 T는 시간 상수인, 온도 추정 방법.
  14. 제 13 항에 있어서,
    상기 제 2 모델, 상기 제 3 모델, 상기 제 4 모델, 및 상기 제 5 모델 중 상기 적어도 하나의 출력은
    Figure 112021007021119-pct00029
    과 각각의 입력의 곱 (product) 에 대응하는, 온도 추정 방법.
  15. 제 9 항에 있어서,
    상기 제 1 모델은
    Figure 112021007021119-pct00030
    에 대응하고, 여기서 Offset은 상기 제 1 TCE들의 온도들과 상기 제 1 온도 응답들 사이의 오프셋에 대응하고, Gain은 상기 제 1 모델의 이득에 대응하고, L은 시간 지연에 대응하고, 그리고 s는 초 단위의 시간에 대응하는, 온도 추정 방법.
  16. 제 9 항에 있어서,
    (i) 상기 계산된 레지스턴스들과 (ii) 상기 제 1 TCE들의 상기 온도들을 상관시키는 제 2 모델을 저장하는 단계를 더 포함하고, 그리고 상기 제 2 모델 및 상기 계산된 레지스턴스들을 사용하여 상기 제 1 TCE들의 상기 온도들을 결정하는 단계를 더 포함하는, 온도 추정 방법.
KR1020197023345A 2017-01-20 2018-01-18 TCE들 (thermal control elements) 을 사용한 ESC 온도 추정을 위한 가상 계측 방법 KR102533847B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/411,389 US10509425B2 (en) 2017-01-20 2017-01-20 Virtual metrology method for ESC temperature estimation using thermal control elements
US15/411,389 2017-01-20
PCT/US2018/014191 WO2018136608A1 (en) 2017-01-20 2018-01-18 A virtual metrology method for esc temperature estimation using thermal control elements

Publications (2)

Publication Number Publication Date
KR20190100972A KR20190100972A (ko) 2019-08-29
KR102533847B1 true KR102533847B1 (ko) 2023-05-17

Family

ID=62905828

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197023345A KR102533847B1 (ko) 2017-01-20 2018-01-18 TCE들 (thermal control elements) 을 사용한 ESC 온도 추정을 위한 가상 계측 방법

Country Status (6)

Country Link
US (1) US10509425B2 (ko)
JP (1) JP7191832B2 (ko)
KR (1) KR102533847B1 (ko)
CN (1) CN110199383B (ko)
TW (1) TWI782943B (ko)
WO (1) WO2018136608A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220172925A1 (en) * 2019-03-13 2022-06-02 Lam Research Corporation Electrostatic chuck heater resistance measurement to approximate temperature
CN114175208B (zh) * 2019-07-25 2024-05-24 朗姆研究公司 衬底处理系统
JP2023535756A (ja) * 2020-07-27 2023-08-21 ワットロー・エレクトリック・マニュファクチャリング・カンパニー システム制御および診断を改善するために中間データを使用するためのシステムおよび方法
JP7467274B2 (ja) * 2020-08-07 2024-04-15 東京エレクトロン株式会社 温度推定方法及び成膜装置
WO2022163214A1 (ja) * 2021-01-29 2022-08-04 住友電気工業株式会社 ヒータ制御装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000339039A (ja) 1999-05-25 2000-12-08 Tokyo Electron Ltd 加熱手段の温度制御方法、その装置及び熱処理装置
JP2007088411A (ja) 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
JP2014522565A (ja) 2011-05-20 2014-09-04 アプライド マテリアルズ インコーポレイテッド プロセスチャンバ内の複数区域ヒータの温度を制御するための方法および装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3986598B2 (ja) 1996-10-08 2007-10-03 キヤノンアネルバ株式会社 基板温度制御機構
EP1125314A1 (en) * 1998-07-10 2001-08-22 Applied Materials, Inc. Improved endpoint detection for substrate fabrication processes
US6583638B2 (en) * 1999-01-26 2003-06-24 Trio-Tech International Temperature-controlled semiconductor wafer chuck system
JP2006114580A (ja) * 2004-10-13 2006-04-27 Canon Inc 温度測定装置及びプラズマ処理装置
US7302363B2 (en) * 2006-03-31 2007-11-27 Tokyo Electron Limited Monitoring a system during low-pressure processes
JP4942174B2 (ja) * 2006-10-05 2012-05-30 東京エレクトロン株式会社 基板処理システムの処理レシピ最適化方法,基板処理システム,基板処理装置
JP2008177185A (ja) * 2007-01-16 2008-07-31 Powertech Technology Inc パッケージ構造
JP5203612B2 (ja) * 2007-01-17 2013-06-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4531778B2 (ja) * 2007-02-09 2010-08-25 東京エレクトロン株式会社 温度制御方法、温度調節器および加熱処理装置
JP5219562B2 (ja) * 2007-04-02 2013-06-26 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
JP2010219462A (ja) * 2009-03-19 2010-09-30 Renesas Electronics Corp ウエハ温度シミュレーション装置、ウエハ温度シミュレーション方法及びそのプログラム
NL2006913A (en) * 2010-07-16 2012-01-17 Asml Netherlands Bv Lithographic apparatus and method.
US8633423B2 (en) * 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
WO2016003630A1 (en) * 2014-07-02 2016-01-07 Applied Materials, Inc Temperature control apparatus including groove-routed optical fiber heating, substrate temperature control systems, electronic device processing systems, and processing methods
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000339039A (ja) 1999-05-25 2000-12-08 Tokyo Electron Ltd 加熱手段の温度制御方法、その装置及び熱処理装置
JP2007088411A (ja) 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
JP2014522565A (ja) 2011-05-20 2014-09-04 アプライド マテリアルズ インコーポレイテッド プロセスチャンバ内の複数区域ヒータの温度を制御するための方法および装置

Also Published As

Publication number Publication date
US20180210473A1 (en) 2018-07-26
KR20190100972A (ko) 2019-08-29
JP7191832B2 (ja) 2022-12-19
US10509425B2 (en) 2019-12-17
CN110199383A (zh) 2019-09-03
TW201841091A (zh) 2018-11-16
TWI782943B (zh) 2022-11-11
WO2018136608A1 (en) 2018-07-26
JP2020506539A (ja) 2020-02-27
CN110199383B (zh) 2023-10-27

Similar Documents

Publication Publication Date Title
KR102533847B1 (ko) TCE들 (thermal control elements) 을 사용한 ESC 온도 추정을 위한 가상 계측 방법
US10096506B2 (en) Reducing temperature transition in a substrate support
US11029668B2 (en) Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US11028482B2 (en) Use of voltage and current measurements to control dual zone ceramic pedestals
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US11908715B2 (en) Dynamic temperature control of substrate support in substrate processing system
KR102527489B1 (ko) 웨이퍼의 다른 cd (critical dimension) 를 예측하기 위해 피드포워드 cd 데이터를 사용하는 가상 계측 시스템들 및 방법들
US20220243332A1 (en) Temperature control of a multi-zone pedestal
US12020960B2 (en) Determining and controlling substrate temperature during substrate processing
US20180173255A1 (en) System and method for calculating substrate support temperture

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant