KR102527489B1 - 웨이퍼의 다른 cd (critical dimension) 를 예측하기 위해 피드포워드 cd 데이터를 사용하는 가상 계측 시스템들 및 방법들 - Google Patents

웨이퍼의 다른 cd (critical dimension) 를 예측하기 위해 피드포워드 cd 데이터를 사용하는 가상 계측 시스템들 및 방법들 Download PDF

Info

Publication number
KR102527489B1
KR102527489B1 KR1020180004851A KR20180004851A KR102527489B1 KR 102527489 B1 KR102527489 B1 KR 102527489B1 KR 1020180004851 A KR1020180004851 A KR 1020180004851A KR 20180004851 A KR20180004851 A KR 20180004851A KR 102527489 B1 KR102527489 B1 KR 102527489B1
Authority
KR
South Korea
Prior art keywords
substrate
model
predicted
predicted value
relationship
Prior art date
Application number
KR1020180004851A
Other languages
English (en)
Other versions
KR20180087145A (ko
Inventor
라마나파시 비라싱암
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20180087145A publication Critical patent/KR20180087145A/ko
Application granted granted Critical
Publication of KR102527489B1 publication Critical patent/KR102527489B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

제어기는 기판 프로세싱 시스템에 의해 프로세싱된 기판의 제 1 CD (critical dimension) 에 대응하는 제 1 모델 및 기판의 제 2 CD에 대응하는 제 2 모델을 저장하는 메모리를 포함한다. 제 2 모델은 제 1 CD와 제 2 CD 간의 예측된 관계를 포함한다. CD 예측 모듈은 제 1 모델을 사용하여 기판의 제 1 CD의 제 1 예측치를 계산하고, 입력으로서 제 1 CD의 제 1 예측치를 제 2 모델로 제공하고, 그리고 제 2 모델을 사용하여 기판의 제 2 CD의 제 2 예측치를 계산하고 출력한다.

Description

웨이퍼의 다른 CD (CRITICAL DIMENSION) 를 예측하기 위해 피드포워드 CD 데이터를 사용하는 가상 계측 시스템들 및 방법들{VIRTUAL METROLOGY SYSTEMS AND METHODS FOR USING FEEDFORWARD CRITICAL DIMENSION DATA TO PREDICT OTHER CRITICAL DIMENSIONS OF WAFER}
본 개시는 기판 프로세싱 시스템들, 보다 구체적으로 가상 계측계를 사용하여 기판의 CD들 (critical dimensions) 을 예측하는 것에 관련된다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들의 에칭, 증착 및/또는 다른 처리를 수행하도록 사용될 수도 있다. 기판 상에서 수행될 수도 있는 예시적인 프로세스들은, 이로 제한되는 것은 아니지만, PECVD (plasma enhanced chemical vapor deposition) 프로세스, CEPVD (chemically enhanced plasma vapor deposition) 프로세스, 스퍼터링 PVD (physical vapor deposition) 프로세스, 이온 주입 프로세스, 및/또는 다른 에칭 (예를 들어, 화학적 에칭, 플라즈마 에칭, 반응성 이온 에칭, 등), 증착, 및 세정 프로세스들을 포함한다. 기판은 기판 프로세싱 시스템의 프로세싱 챔버의 기판 지지부, 예컨대 페데스탈, 정전 척 (ESC), 등 상에 배치될 수도 있다. 예를 들어, 에칭 동안, 하나 이상의 전구체들을 포함하는 가스 혼합물이 프로세싱 챔버 내로 도입되고 기판을 에칭하기 위해 플라즈마가 스트라이킹된다.
프로세스 단계들 동안, 프로세스 파라미터들 (예를 들어, 시스템의 다양한 컴포넌트들 및 기판의 온도들, 프로세싱 챔버 증착 레이트들 내 압력, 에칭 레이트들, 전력, 등) 이 가변할 수도 있다. 이들 변동들은 발생되는 기판들에 영향들 (예를 들어, 기판들의 CD에 대한 영향들) 을 줄 수도 있다.
제어기는 기판 프로세싱 시스템에 의해 프로세싱된 기판의 제 1 CD (critical dimension) 에 대응하는 제 1 모델 및 기판의 제 2 CD에 대응하는 제 2 모델을 저장하는 메모리를 포함한다. 제 2 모델은 제 1 CD와 제 2 CD 간의 예측된 관계를 포함한다. CD 예측 모듈은 제 1 모델을 사용하여 기판의 제 1 CD의 제 1 예측값을 계산하고, 입력으로서 제 1 CD의 제 1 예측값을 제 2 모델로 제공하고, 그리고 제 2 모델을 사용하여 기판의 제 2 CD의 제 2 예측값을 계산하고 출력한다.
방법은 기판 프로세싱 시스템에 의해 프로세싱된 기판의 제 1 CD에 대응하는 제 1 모델 및 기판의 제 2 CD에 대응하는 제 2 모델을 저장하는 단계를 포함한다. 제 2 모델은 제 1 CD와 제 2 CD 사이의 예측된 관계를 포함한다. 방법은 제 1 모델을 사용하여 기판의 제 1 CD의 제 1 예측값을 계산하는 단계, 제 2 모델로의 입력으로서 제 1 CD의 제 1 예측값을 제공하는 단계, 및 제 2 모델을 사용하여 기판의 제 2 CD의 제 2 예측값을 계산하고 출력하는 단계를 더 포함한다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시의 원리들에 따른 예시적인 기판 프로세싱 시스템의 기능적 블록도이다.
도 2a는 본 개시의 원리들에 따른 예시적인 시스템 제어기의 기능적 블록도이다.
도 2b는 본 개시의 원리들에 따른 가상 계측 시스템의 기능적 블록도이다.
도 3은 본 개시의 원리들에 다른 피드포워드 데이터를 사용하여 기판의 CD들을 예측하기 위한 방법의 단계들을 예시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
반도체 기판 (즉, 웨이퍼) 의 CD들은 프로세스 파라미터들, 프로세싱 챔버 특성들, 등의 변동들에 의해 영향을 받을 수도 있다. CD는 라인 폭, 스페이스 폭, 게이트 길이, 홀 폭, 워드 라인 폭, 고 종횡비 홀 깊이 (예를 들어, 필라와 같은 각각의 홀의 임의의 깊이 또는 레벨), 홀 깊이, 트렌치 깊이, 레지스턴스, 등과 같은 기판 계측 메트릭들을 지칭할 수도 있다. 일부 CD들은 프로세싱에 후속하여 측정될 수도 있다. 그러나, 다른 CD들의 물리적 측정값들은 상이할 수도 있고 그리고/또는 고가일 수도 있고, 일부 경우들에서, (예를 들어, 물리적 측정값들을 취하기 위해 기판을 에칭백함으로써) 기판의 파괴를 발생시킬 수도 있다. 예를 들어, 물리적 측정값들은 3D NAND 구현예들에서와 같이, 3차원 구조체들에 대해 얻기 어려울 수도 있다. 이에 따라, 기판 프로세싱 시스템들은 프로세싱된 기판의 CD들을 예측/추정하기 위한 다양한 시스템들 및 방법들을 구현할 수도 있다.
가상 계측 시스템들 및 방법들은 툴 센서 데이터, 측정 데이터 및/또는 다른 데이터에 기초하여 CD들 및/또는 다른 기판 프로세싱 시스템 변수들을 예측한다. 예를 들어, 가상 계측 시스템들 및 방법들은 실제 측정된 조건들 (예를 들어, 각각의 센서들을 사용하여 취해진 인시츄 측정값들을 나타내는 툴 센서 데이터) 을 프로세싱 챔버 내 다른 조건들, 기판의 특성들, 등과 연관시키는 수학적 모델들을 구현할 수도 있다. 모델들은 이로 제한되는 것은 아니지만, 기판의 프로세싱이 완료된 후 취해진 물리적 측정값들을 포함하여, 샘플링된 계측 데이터 및 피드백 데이터에 따라 주기적으로 업데이트될 수도 있다. 이러한 방식에서, 모델들을 업데이트하는 것에 후속하여 프로세싱된 기판들의 CD들의 추정치가 개선될 수도 있다.
본 개시의 원리들에 따른 가상 계측 시스템들 및 방법들은 "웨이퍼 내" 피드포워드 데이터를 사용하여 타깃팅된 구조체들의 "웨이퍼 내" CD 예측을 구현한다. 피드포워드 데이터는 동일한 웨이퍼 상의 다른 구조체들에 대해 수행된 가상 계측 모델 예측들에 대응할 수도 있다. 예를 들어, 프로세싱된 기판의 제 1 세트의 CD들은 대응하는 가상 계측 모델을 사용하여 예측될 수도 있다. 이어서 예측된 제 1 세트의 CD들은 동일한 프로세싱된 기판에 대한 제 2 세트의 CD들을 예측하기 위한 입력들로서 사용될 수도 있다. 예를 들어, 제 1 세트의 CD들 및 제 2 세트의 CD들은 각각 프로세싱된 기판의 동일한 피처와 연관될 수도 있다. 이에 따라, 제 1 세트의 CD들은 미리 결정된 기판에 대한 제 2 세트의 CD들의 지표일 수도 있다. 이에 따라, 제 2 세트의 CD들에 대응하는 가상 계측 모델은 이하에 보다 상세히 기술된 바와 같이 제 1 세트의 CD들과 제 2 세트의 CD들 간의 관계에 부분적으로 기초할 수도 있다.
이제 도 1을 참조하면, RF 플라즈마를 사용하여 에칭을 수행하기 위한 예시적인 기판 프로세싱 시스템 (100) 이 도시된다. 기판 프로세싱 시스템 (100) 은 기판 프로세싱 시스템 (100) 의 다른 컴포넌트들을 둘러싸고 RF 플라즈마를 담는 프로세싱 챔버 (102) 을 포함한다. 기판 프로세싱 챔버 (102) 는 상부 전극 (104) 및 ESC (electrostatic chuck) (106) 와 같은 기판 지지부를 포함한다. 동작 동안, 기판 (108) 은 ESC (106) 상에 배치된다.
단지 예를 들면, 상부 전극 (104) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드 (109) 를 포함할 수도 있다. 샤워헤드 (109) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함하는 스템 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 실린더형이고 프로세싱 챔버의 상단 표면으로부터 이격되는 위치에서 스템 부분의 반대편 단부로부터 방사상으로 외측으로 연장한다. 샤워헤드의 베이스 부분의 기판-대면 표면 또는 대면 플레이트는 프로세스 가스 또는 퍼지 가스가 흐르는 복수의 홀들을 포함한다. 대안적으로, 상부 전극 (104) 은 도전 플레이트를 포함할 수도 있고 프로세스 가스들은 또 다른 방식으로 도입될 수도 있다.
ESC (106) 는 하부 전극으로서 역할을 하는 도전성 베이스플레이트 (110) 를 포함한다. 베이스플레이트 (110) 는 세라믹 멀티-존 가열 플레이트에 대응할 수도 있는, 가열 플레이트 (112) 를 지지한다. 내열층 (114) 은 가열 플레이트 (112) 와 베이스플레이트 (110) 사이에 배치될 수도 있다. 베이스플레이트 (110) 는 베이스플레이트 (110) 를 통해 냉각제를 흘리기 위한 하나 이상의 냉각제 채널들 (116) 을 포함할 수도 있다.
RF 생성 시스템 (120) 은 RF 전압을 생성하고 RF 전압을 상부 전극 (104) 및 하부 전극 (예를 들어, ESC (106) 의 베이스플레이트 (110)) 중 하나로 출력한다. 상부 전극 (104) 및 베이스플레이트 (110) 중 다른 하나는 DC 접지되거나, AC 접지되거나 플로팅할 수도 있다. 단지 예를 들면, RF 생성 시스템 (120) 은 매칭 및 분배 네트워크 (124) 에 의해 상부 전극 (104) 또는 베이스플레이트 (110) 로 피딩되는 RF 전압을 생성하는 RF 전압 생성기 (122) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도적으로 생성될 수도 있고 또는 리모트로 생성될 수도 있다.
가스 전달 시스템 (130) 은 하나 이상의 가스 소스들 (132-1, 132-2, …, 및 132-N (집합적으로 가스 소스들 (132)) 을 포함하고, N은 0보다 큰 정수이다. 가스 소스들은 하나 이상의 전구체들 및 이들의 혼합물들을 공급한다. 가스 소스들은 또한 퍼지 가스를 공급할 수도 있다. 기화된 전구체가 또한 사용될 수도 있다. 가스 소스들 (132) 은 밸브들 (134-1, 134-2, …, 및 134-N (집합적으로 밸브들 (134)) 및 질량 유량 제어기들 (mass flow controllers) (136-1, 136-2, …, 및 136-N (집합적으로 질량 유량 제어기들 (136)) 에 의해 매니폴드 (140) 에 연결된다. 매니폴드 (140) 의 출력은 프로세싱 챔버 (102) 로 피드된다. 단지 예를 들면, 매니폴드 (140) 의 출력은 샤워헤드 (109) 로 피드된다.
온도 제어기 (142) 가 가열 플레이트 (112) 내에 배치된 복수의 TCE들 (thermal control elements) (144) 에 연결될 수도 있다. 예를 들어, TCE들 (144) 은 이로 제한되는 것은 아니지만, 도 2a 및 도 2b에 보다 상세히 기술된 바와 같이, 각각의 멀티-존 가열 플레이트의 존 각각에 대응하는 매크로 TCE들 및/또는 멀티-존 가열 플레이트의 복수의 존들에 걸쳐 배치된 마이크로 TCE들의 어레이를 포함할 수도 있다. 온도 제어기 (142) 는 ESC (106) 및 기판 (108) 의 온도를 제어하기 위해 복수의 TCE들 (144) 을 제어하도록 사용될 수도 있다.
온도 제어기 (142) 는 채널들 (116) 을 통한 냉각제 플로우를 제어하도록 냉각제 어셈블리 (146) 와 연통할 수도 있다. 예를 들어, 냉각제 어셈블리 (146) 는 냉각제 펌프 및 저장부를 포함할 수도 있다. 온도 제어기 (142) 는 ESC (106) 를 냉각하기 위해 채널들 (116) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (146) 를 동작시킨다.
밸브 (150) 및 펌프 (152) 가 프로세싱 챔버 (102) 로부터 반응물질들을 배기하도록 사용될 수도 있다. 시스템 제어기 (160) 는 기판 프로세싱 시스템 (100) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 로봇 (170) 이 ESC (106) 상으로 기판들을 전달하고 ESC (106) 로부터 기판들을 제거하도록 사용될 수도 있다. 예를 들어, 로봇 (170) 은 ESC (106) 와 로드록 (172) 사이에서 기판들을 이송할 수도 있다. 분리된 제어기들로서 도시되지만, 온도 제어기 (142) 는 시스템 제어기 (160) 내에서 구현될 수도 있다. 시스템 제어기 (160) 또는 분리되어 위치된 가상 계측 제어기는 본 개시의 원리들에 따른 가상 계측 시스템들 및 방법들을 구현할 수도 있다.
이제 도 2a를 참조하면, 예시적인 시스템 제어기 (200) 은 데이터 수집 모듈 (204), CD 예측 모듈 (208), 프로세싱 제어 모듈 (212), 및 메모리 (216) 를 포함한다. 예를 들어, 메모리 (216) 는 비휘발성 반도체 메모리와 같은 비휘발성 메모리에 대응할 수도 있다. 메모리 (216) 는 프로세싱 동안 그리고/또는 프로세싱에 후속하여 복수의 입력부들에 기초하여 기판의 각각의 CD들을 추정하도록 구성된 하나 이상의 가상 계측 모델들을 저장한다
예를 들어, CD 예측 모듈 (208) 은 기판의 다양한 CD들을 예측하기 위해 프로세싱 동안 그리고/또는 프로세싱에 후속하여 이 모델들을 구현하도록 구성될 수도 있다. CD 예측 모듈 (208) 은 데이터 수집 모듈 (204) 로부터 수신된 입력들을 사용하여 이 모델들을 실행한다. 예를 들어, 데이터 수집 모듈 (204) 은 이로 제한되는 것은 아니지만, 프로세싱 전 (예를 들어, 사용자에 의해 입력될 때) 에 취해진 기판의 물리적 측정값들, 프로세싱 동안 프로세싱 챔버 (102) 내로부터 취해진 센서 측정값들 (예를 들어, 가스 플로우 레이트들, 온도, 압력, RF 전력, 등) 에 대응하는 센서 데이터, 등을 포함하는 입력들 (220) 을 수신할 수도 있다. 데이터 수집 모듈 (204) 은 또한 입력들 (220) (예를 들어, 툴 센서 데이터), 프로세싱 제어 모듈 (212) 로부터의 입력들, 등을 사용하여 다양한 프로세싱 파라미터들을 계산하고 그리고/또는 예측할 수도 있다. 예를 들어, 프로세싱 제어 모듈 (212) 은 이로 제한되는 것은 아니지만, 가스 플로우 레이트들, 기판 프로세싱 시스템 (100) 의 컴포넌트들에 제공된 전력, 온도들, 등을 포함하는 기판 프로세싱과 연관된 다양한 파라미터들을 제어하도록 구성될 수도 있다. 프로세싱 제어 모듈 (212) 은 데이터 수집 모듈 (204) 로 제어된 파라미터들의 피드백 지표를 제공할 수도 있다.
이에 따라, CD 예측 모듈 (208) 은 메모리 (216) 에 저장된 모듈들을 회수하고 데이터 수집 모듈 (204), 프로세싱 제어 모듈 (212), 등으로부터 입력들에 따라 모델들을 구현한다. 이 모델들로의 입력들은 프로세싱될 기판의 물리적 측정값들, 프로세싱 챔버 (102) 내 조건들, 기판 프로세싱 시스템 (100) 과 연관된 제어 파라미터들, 및/또는 기판의 CD들을 계산하기 위한 모델들로 통합된 임의의 데이터에 대응한다. 본 개시의 원리들에 따른 CD 예측 모듈 (208) 은 제 1 모델의 결과들 (즉, 제 1 세트의 예측된 CD들에 대응) 을 제 2 모델로의 입력들로서 더 제공하도록 구성된다. 즉, 제 2 모델의 결과들 (즉, 제 2 세트의 예측된 CD들에 대응하는) 은 제 1 모델의 결과들에 부분적으로 기초하여 계산된다.
단지 예를 들면, 제 2 모델은 제 1 CD (예를 들어, 제 1 세트의 CD들에 대응) 와 제 2 CD (예를 들어, 제 2 세트의 CD들에 대응) 사이의 예측된 관계에 따라 구성될 수도 있다. 예측된 관계는 복수의 프로세싱된 기판들에 대해 수집된 데이터에 따라 계산될 수도 있다. 프로세싱된 기판들의 CD들은 동일한 기판의 제 1 CD CDx와 제 2 CD CDy 간의 관계를 결정하도록 측정될 수도 있고, 여기서 CDx는 프로세싱에 후속하여 정확하게 물리적으로 측정될 수도 있는 CD에 대응할 수도 있는 한편, CDy는 프로세싱에 후속하여 정확하게 물리적으로 측정되지 않을 수도 있는 CD에 대응할 수도 있다.
일 예에서, 제 1 CD CDx는 고 종횡비 구조체들의 상단부들에서 CD (예를 들어 고 종횡비 필라들의 상단부들 사이의 폭) 에 대응할 수도 있고, 프로세싱에 후속하여 물리적으로 측정가능할 수도 있다. 반대로, 제 2 CD CDy는 고 종횡비 구조체들의 하단부들에서 CD (예를 들어 고 종횡비 필라들의 하단부들 사이의 폭) 에 대응할 수도 있고, 프로세싱에 후속하여 물리적으로 측정하기 어려울 수도 있다. 이에 따라, 제 1 CD CDx와 제 2 CD CDy 간의 예측된 관계는 제 1 CD CDx 및 제 2 CD CDy를 측정함으로써 복수의 기판들의 프로세싱 결과들에 기초하여 계산될 수도 있다. 단지 예를 들면, 기판은 예측된 관계를 계산하기 위한 측정값들을 획득하기 위해 제 2 CD CDy를 측정하도록 에칭백될 수도 있다. 예측된 관계는 대략적으로 선형 또는 비선형 기울기, 도함수, 고차 방정식, 등에 대응할 수도 있다. 또 다른 예에서, 제 1 CD CDx는 가장 높은 고 종횡비 필라들의 높이에 대응하고, 제 2 CD CDy는 가장 낮은 고 종횡비 필라들의 높이에 대응한다.
이러한 방식으로, CD 예측 모듈 (208) 은 제 1 모델을 사용하여 기판의 제 1 세트의 CD들 (예를 들어, CDx-1, CDx-2,..., CDx-N) 을 계산한다. 계산된 제 1 세트의 CD들은 제 2 모델에 입력되고, 그리고 CD 예측 모듈 (208) 은 제 2 모델 및 제 1 세트의 CD들을 사용하여 동일한 기판의 제 2 세트의 CD들 (CDy-1, CDy-2,..., CDy-N) 을 계산한다. 이전에 구성되고 메모리 (216) 에 저장되기 때문에, 제 2 모델은 제 1 세트의 CD들과 제 2 세트의 CD들 간의 예측된 관계를 통합한다.
기판의 프로세싱에 후속하여 취해진 제 1 세트의 CD들의 물리적 측정값들은 제 2 모델을 업데이트하기 위해 제 1 모델의 계산된 결과들과 비교될 수도 있다. 예를 들어, 제 1 세트의 CD들은 예측된 관계에 따른 제 2 세트의 CD들의 지표이기 때문에, 제 1 세트의 CD들의 예측값들과 실제 측정값들 사이의 차들 (예를 들어, 오프셋 또는 델타) 은 제 2 세트의 CD들의 예측값들과 실제 측정값들 사이의 차들의 지표일 수도 있다고 간주될 수도 있다. 이에 따라, 제 1 세트의 CD들의 예측값들과 실제 측정값들 사이의 차들은 제 2 세트의 CD들의 보다 정확한 예측을 획득하기 위해 제 2 모델에 입력들로서 제공될 수도 있다.
다른 예들에서, 제 2 모델은 제 1 세트의 CD들 내 CD들 간의 예측된 관계들을 더 통합할 수도 있다. 예를 들어, CDx-1과 CDx-2 간의 차 DX1은 CDx-1과 CDy-1 간의 차 DXY의 지표일 수도 있다. 이와 같이, CDx-1과 CDy-1 간의 관계는 DX1 대 DXY의 비에 따라 더 규정될 수도 있다. 즉, CDx-1 또는 CDx-2의 변화로 인해 DX1의 변화는 CDy-1의 변화가 DX1 대 DXY의 비를 따른다는 것을 가정함으로써 CDy-1의 대응하는 변화를 계산하도록 사용될 수도 있다.
이제 도 2b를 참조하면, 도 1 및 도 2a에 기술된 바와 같은, 국부적인 시스템 제어기 (160/200) 에 더하여 그리고/또는 대신, 가상 계측 시스템 (224) 은 본 명세서에 기술된 가상 계측 시스템들 및 방법들을 구현하는 리모트로 위치된 가상 계측 제어기 (228) 를 포함할 수도 있다. 예를 들어, 제조 설비 (232) 는 하나 이상의 기판 프로세싱 시스템들 (236) (예를 들어, 도 1에 기술된 기판 프로세싱 시스템 (110) 에 대응) 을 포함할 수도 있다. 기판 프로세싱 시스템 (236) 은 네트워크 (예를 들어, 유선 또는 무선 네트워크) (240) 를 통해 리모트로 위치된 가상 계측 제어기 (228) 와 통신할 수도 있다. 가상 계측 서버 (244) 는 가상 계측 제어기 (228) 를 구현할 수도 있다. 제조 설비 (232) 의 외부에 도시되지만, 가상 계측 서버 (244) 는 일부 예들에서 설비 (232) 내에 위치될 수도 있다.
가상 계측 제어기 (228) 는 도 2a에 기술된 바와 같은, 메모리 (216), CD 예측 모듈 (208), 데이터 수집 모듈 (204), 프로세싱 제어 모듈 (212), 등과 기능적으로 유사한 컴포넌트들을 포함할 수도 있다. 이에 따라, 가상 계측 제어기 (228) 는 도 2a에 대해 상기 기술된 바와 같은 CD들의 예측값들을 계산하도록 구성된다. 가상 계측 제어기 (224) 는 기판 프로세싱 시스템 (236) 으로부터의 이력 데이터를 포함하여 그리고/또는 다른 소스들 (248) 로부터 직접 계측 데이터를 수신할 수도 있다. 다른 소스들 (248) 은 이로 제한되는 것은 아니지만, 저장된 이력 데이터, 다른 제조 설비들, 사용자 입력들, 등을 포함할 수도 있다. 사용자는 클라이언트 디바이스 (252) (예를 들어, PC, 랩탑, 또는 다른 컴퓨팅 디바이스) 를 통해 가상 계측 제어기 (228) 에 액세스할 수도 있다.
이제 도 3을 참조하면, 본 개시의 원리들에 따른 피드포워드 데이터를 사용하여 기판의 CD들을 예측하는 예시적인 방법 (300) 이 304에서 시작된다. 308에서, 제 1 CD와 제 2 CD 간의 예측된 관계가 계산된다. 예를 들어, 예측된 관계는 복수의 기판들에 대해 취해진 제 1 CD 및 제 2 CD의 물리적 측정값들에 따라 계산될 수도 있다. 312에서, 모델이 예측된 관계에 기초하여 구성된다. 예를 들어, 모델은 메모리에 저장되고, 복수의 입력들 중 하나로서 제 1 CD의 예측값을 사용하여 제 2 CD의 예측값을 출력하도록 구성된 가상 계측 모델에 대응한다.
316에서, 방법 (300) (예를 들어, CD 예측 모듈 (208)) 은 제 1 CD의 예측값을 계산한다. 예를 들어, 방법 (300) 은 기판의 프로세싱과 관련된 하나 이상의 입력들을 수신하고 제 1 CD와 연관된 가상 계측 모델을 구현한다. 320에서, 방법 (300) (예를 들어, CD 예측 모듈 (208)) 은 제 1 CD와 제 2 CD 간의 예측된 관계에 기초한 모델을 사용하여 제 2 CD의 예측값을 계산한다. 예를 들어, CD 예측 모듈 (208) 은 모델에 대한 복수의 입력들 중 하나로서 제 1 CD의 예측값을 사용한다. 방법 (300) 은 324에서 종료된다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (19)

  1. (i) 기판 프로세싱 시스템에 의해 프로세싱된 기판의 제 1 CD (critical dimension) 에 대응하는 제 1 모델 및 (ii) 상기 기판의 제 2 CD에 대응하는 제 2 모델을 저장하는 메모리로서, 상기 제 2 모델은 상기 제 1 CD와 상기 제 2 CD 간의 예측된 관계를 포함하는, 상기 메모리; 및
    (i) 상기 제 1 모델을 사용하여 상기 기판의 상기 제 1 CD의 제 1 예측값을 계산하고, (ii) 입력으로서 상기 제 1 CD의 상기 제 1 예측값을 상기 제 2 모델로 제공하고, 그리고 (iii) 상기 제 2 모델을 사용하여 상기 기판의 상기 제 2 CD의 제 2 예측값을 계산하고 출력하는 CD 예측 모듈을 포함하고,
    상기 CD 예측 모듈은 상기 제 1 CD의 상기 제 1 예측값과 상기 제 1 CD의 측정값 사이의 비교에 기초하여 상기 제 2 모델을 업데이트하는, 제어기.
  2. 제 1 항에 있어서,
    상기 제 1 모델 및 상기 제 2 모델 중 적어도 하나는 가상 계측 모델에 대응하는, 제어기.
  3. 제 1 항에 있어서,
    상기 제 1 CD 및 상기 제 2 CD는 상기 기판의 동일한 피처와 연관되는, 제어기.
  4. (i) 기판 프로세싱 시스템에 의해 프로세싱된 기판의 제 1 CD (critical dimension) 에 대응하는 제 1 모델 및 (ii) 상기 기판의 제 2 CD에 대응하는 제 2 모델을 저장하는 메모리로서, 상기 제 2 모델은 상기 제 1 CD와 상기 제 2 CD 간의 예측된 관계를 포함하는, 상기 메모리; 및
    (i) 상기 제 1 모델을 사용하여 상기 기판의 상기 제 1 CD의 제 1 예측값을 계산하고, (ii) 입력으로서 상기 제 1 CD의 상기 제 1 예측값을 상기 제 2 모델로 제공하고, 그리고 (iii) 상기 제 2 모델을 사용하여 상기 기판의 상기 제 2 CD의 제 2 예측값을 계산하고 출력하는 CD 예측 모듈을 포함하고,
    상기 제 1 CD 및 상기 제 2 CD는 상기 기판 상의 고 종횡비 구조체의 높이에 대응하는, 제어기.
  5. 제 1 항에 있어서,
    상기 제 1 CD 및 상기 제 2 CD는 상기 기판 상의 고 종횡비 구조체들 사이의 폭에 대응하는, 제어기.
  6. 삭제
  7. 제 1 항에 있어서,
    상기 예측된 관계는 복수의 기판들 상의 상기 제 1 CD 및 상기 제 2 CD의 각각의 측정값들에 기초하는, 제어기.
  8. 제 1 항에 있어서,
    상기 예측된 관계는 (i) 상기 제 1 CD와 제 3 CD 간의 차 대 (ii) 상기 제 1 CD와 상기 제 2 CD 간의 차의 비에 기초하고,
    상기 제 3 CD는, 상기 제 1 모델을 이용하여 계산된 다른 제 1 CD 예측값인, 제어기.
  9. 제 1 항에 있어서,
    상기 예측된 관계는 상기 제 1 CD와 상기 제 2 CD 간의 선형 또는 비선형 관계에 대응하는, 제어기.
  10. 제 1 항에 기재된 제어기; 및
    상기 기판 프로세싱 시스템을 포함하고,
    상기 제어기는 상기 기판 프로세싱 시스템으로부터 이격되어 위치되는, 시스템.
  11. (i) 기판 프로세싱 시스템에 의해 프로세싱된 기판의 제 1 CD에 대응하는 제 1 모델 및 (ii) 상기 기판의 제 2 CD에 대응하는 제 2 모델을 저장하는 단계로서, 상기 제 2 모델은 상기 제 1 CD와 상기 제 2 CD 사이의 예측된 관계를 포함하는, 상기 저장하는 단계;
    상기 제 1 모델을 사용하여 상기 기판의 상기 제 1 CD의 제 1 예측값을 계산하는 단계;
    상기 제 2 모델로의 입력으로서 상기 제 1 CD의 상기 제 1 예측값을 제공하는 단계;
    상기 제 2 모델을 사용하여 상기 기판의 상기 제 2 CD의 제 2 예측값을 계산하고 출력하는 단계; 및
    상기 제 1 CD의 상기 제 1 예측값과 상기 제 1 CD의 측정값 간의 비교에 기초하여 상기 제 2 모델을 업데이트하는 단계를 포함하는, 방법.
  12. 제 11 항에 있어서,
    상기 제 1 모델 및 상기 제 2 모델 중 적어도 하나는 가상 계측 모델에 대응하는, 방법.
  13. 제 11 항에 있어서,
    상기 제 1 CD 및 상기 제 2 CD는 상기 기판의 동일한 피처와 연관되는, 방법.
  14. (i) 기판 프로세싱 시스템에 의해 프로세싱된 기판의 제 1 CD에 대응하는 제 1 모델 및 (ii) 상기 기판의 제 2 CD에 대응하는 제 2 모델을 저장하는 단계로서, 상기 제 2 모델은 상기 제 1 CD와 상기 제 2 CD 사이의 예측된 관계를 포함하는, 상기 저장하는 단계;
    상기 제 1 모델을 사용하여 상기 기판의 상기 제 1 CD의 제 1 예측값을 계산하는 단계;
    상기 제 2 모델로의 입력으로서 상기 제 1 CD의 상기 제 1 예측값을 제공하는 단계; 및
    상기 제 2 모델을 사용하여 상기 기판의 상기 제 2 CD의 제 2 예측값을 계산하고 출력하는 단계를 포함하고,
    상기 제 1 CD 및 상기 제 2 CD는 상기 기판 상의 고 종횡비 구조체의 높이에 대응하는, 방법.
  15. 제 11 항에 있어서,
    상기 제 1 CD 및 상기 제 2 CD는 상기 기판 상의 고 종횡비 구조체들 간의 폭에 대응하는, 방법.
  16. 삭제
  17. 제 11 항에 있어서,
    상기 예측된 관계는 복수의 기판들 상에서 상기 제 1 CD 및 상기 제 2 CD의 각각의 측정값들에 기초하는, 방법.
  18. 제 11 항에 있어서,
    상기 예측된 관계는 (i) 상기 제 1 CD와 제 3 CD 간의 차 대 (ii) 상기 제 1 CD와 상기 제 2 CD 간의 차의 비에 기초하고,
    상기 제 3 CD는, 상기 제 1 모델을 이용하여 계산된 다른 제 1 CD 예측값인, 방법.
  19. 제 11 항에 있어서,
    상기 예측된 관계는 상기 제 1 CD와 상기 제 2 CD 간의 선형 관계 또는 비선형 관계에 대응하는, 방법.
KR1020180004851A 2017-01-24 2018-01-15 웨이퍼의 다른 cd (critical dimension) 를 예측하기 위해 피드포워드 cd 데이터를 사용하는 가상 계측 시스템들 및 방법들 KR102527489B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/413,639 2017-01-24
US15/413,639 US10121709B2 (en) 2017-01-24 2017-01-24 Virtual metrology systems and methods for using feedforward critical dimension data to predict other critical dimensions of a wafer

Publications (2)

Publication Number Publication Date
KR20180087145A KR20180087145A (ko) 2018-08-01
KR102527489B1 true KR102527489B1 (ko) 2023-04-28

Family

ID=62906578

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180004851A KR102527489B1 (ko) 2017-01-24 2018-01-15 웨이퍼의 다른 cd (critical dimension) 를 예측하기 위해 피드포워드 cd 데이터를 사용하는 가상 계측 시스템들 및 방법들

Country Status (4)

Country Link
US (1) US10121709B2 (ko)
KR (1) KR102527489B1 (ko)
SG (1) SG10201800352SA (ko)
TW (1) TWI750303B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10741429B2 (en) * 2018-06-21 2020-08-11 Lam Research Corporation Model-based control of substrate processing systems
KR102611986B1 (ko) 2018-12-19 2023-12-08 삼성전자주식회사 반도체 소자의 형상 예측 방법
CN113571437B (zh) * 2020-04-28 2023-09-08 长鑫存储技术有限公司 半导体器件测量方法
US20220352041A1 (en) * 2021-04-30 2022-11-03 Kla Corporation High Resolution Profile Measurement Based On A Trained Parameter Conditioned Measurement Model

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003218015A (ja) * 2002-01-24 2003-07-31 Tokyo Electron Ltd 基板処理装置
KR100724187B1 (ko) 2005-12-27 2007-05-31 동부일렉트로닉스 주식회사 Apc 시스템에서 포토공정 cd 제어 방법
KR100818388B1 (ko) * 2006-08-31 2008-04-01 동부일렉트로닉스 주식회사 반도체 소자의 패턴 임계치수 제어 방법

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6094256A (en) * 1998-09-29 2000-07-25 Nikon Precision Inc. Method for forming a critical dimension test structure and its use
KR20050012937A (ko) * 2003-07-25 2005-02-02 삼성전자주식회사 반도체 제조 공정 검사 방법 및 검사 장치
TWI294141B (en) * 2006-03-17 2008-03-01 United Microelectronics Corp Method for detecting semiconductor manufacturing conditions
US8187483B2 (en) * 2006-08-11 2012-05-29 Jason Plumhoff Method to minimize CD etch bias
US7713758B2 (en) * 2007-06-13 2010-05-11 Tokyo Electon Limited Method and apparatus for optimizing a gate channel
US7974723B2 (en) * 2008-03-06 2011-07-05 Applied Materials, Inc. Yield prediction feedback for controlling an equipment engineering system
JP5225463B2 (ja) * 2008-06-03 2013-07-03 エーエスエムエル ネザーランズ ビー.ブイ. レンズ加熱補償方法
WO2011104613A1 (en) * 2010-02-23 2011-09-01 Carl Zeiss Sms Ltd. Critical dimension uniformity correction by scanner signature control
US9449835B2 (en) * 2014-12-05 2016-09-20 Globalfoundries Inc. Methods of forming features having differing pitch spacing and critical dimensions
US9711420B1 (en) * 2016-03-14 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Inline focus monitoring

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003218015A (ja) * 2002-01-24 2003-07-31 Tokyo Electron Ltd 基板処理装置
KR100724187B1 (ko) 2005-12-27 2007-05-31 동부일렉트로닉스 주식회사 Apc 시스템에서 포토공정 cd 제어 방법
KR100818388B1 (ko) * 2006-08-31 2008-04-01 동부일렉트로닉스 주식회사 반도체 소자의 패턴 임계치수 제어 방법

Also Published As

Publication number Publication date
US10121709B2 (en) 2018-11-06
TW201841277A (zh) 2018-11-16
KR20180087145A (ko) 2018-08-01
US20180211891A1 (en) 2018-07-26
TWI750303B (zh) 2021-12-21
SG10201800352SA (en) 2018-08-30

Similar Documents

Publication Publication Date Title
KR102527489B1 (ko) 웨이퍼의 다른 cd (critical dimension) 를 예측하기 위해 피드포워드 cd 데이터를 사용하는 가상 계측 시스템들 및 방법들
US11029668B2 (en) Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
KR102533847B1 (ko) TCE들 (thermal control elements) 을 사용한 ESC 온도 추정을 위한 가상 계측 방법
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US11028482B2 (en) Use of voltage and current measurements to control dual zone ceramic pedestals
US10351953B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US20230083737A1 (en) System, method, and user interface for edge ring wear compensation
US10900124B2 (en) Substrate processing chamber with showerhead having cooled faceplate
KR20210011501A (ko) 금속 옥사이드 막들을 제거하기 위한 온도 제어 시스템들 및 방법들
US10760944B2 (en) Hybrid flow metrology for improved chamber matching
US10725485B2 (en) System and method for calculating substrate support temperature
US20210143037A1 (en) Determining and controlling substrate temperature during substrate processing
US11255017B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
WO2022232014A1 (en) Use of signal filtering schemes in high tcr based control

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant