CN110199383B - 一种利用热控元件进行esc温度估算的虚拟计量方法 - Google Patents

一种利用热控元件进行esc温度估算的虚拟计量方法 Download PDF

Info

Publication number
CN110199383B
CN110199383B CN201880007951.8A CN201880007951A CN110199383B CN 110199383 B CN110199383 B CN 110199383B CN 201880007951 A CN201880007951 A CN 201880007951A CN 110199383 B CN110199383 B CN 110199383B
Authority
CN
China
Prior art keywords
model
temperature
substrate support
thermal control
control element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880007951.8A
Other languages
English (en)
Other versions
CN110199383A (zh
Inventor
张涛
约格·约瑟·扎尼诺维什
佛瑞德·埃格利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN110199383A publication Critical patent/CN110199383A/zh
Application granted granted Critical
Publication of CN110199383B publication Critical patent/CN110199383B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1917Control of temperature characterised by the use of electric means using digital means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Automation & Control Theory (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Measuring Temperature Or Quantity Of Heat (AREA)
  • Control Of Resistance Heating (AREA)
  • Control Of Temperature (AREA)
  • Investigating Or Analyzing Materials Using Thermal Means (AREA)

Abstract

一种用于衬底处理系统中的衬底支撑件的温度控制器包括:存储第一模型的存储器,所述第一模型将布置在所述衬底支撑件中的多个第一热控制元件(TCE)的温度和所述衬底支撑件的第一温度响应相关联。所述第一温度响应对应于所述衬底支撑件的表面上的位置。温度估算模块计算所述第一TCE的电阻,基于所计算出的所述电阻确定所述第一TCE的所述温度,以及使用所存储的所述第一模型和所确定的所述第一TCE的所述温度估算所述衬底支撑件的实际温度响应。所述温度控制器被配置为基于所述衬底支撑件的所述实际温度响应来控制所述第一TCE。

Description

一种利用热控元件进行ESC温度估算的虚拟计量方法
相关申请的交叉引用
本申请要求于2017年1月20日提交的美国专利申请No.15/411,389的优先权。上述申请的全部公开内容通过引用并入本文。
技术领域
本公开涉及衬底处理系统,并且更具体地涉及用于估算衬底处理系统中的衬底支撑件的温度的系统和方法。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。在此背景技术部分以及在提交申请时不能确定为现有技术的描述的各方面中描述的范围内的当前署名的发明人的工作既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理系统可用于对诸如半导体晶片之类的衬底执行蚀刻、沉积和/或其他处理。可以在衬底上执行的示例性处理包括但不限于等离子体增强化学气相沉积(PECVD)工艺、化学增强等离子体气相沉积(CEPVD)工艺、溅射物理气相沉积(PVD)工艺、离子注入工艺和/或其他蚀刻(例如,化学蚀刻、等离子体蚀刻、反应离子蚀刻等)、沉积和清洁工艺。衬底可以布置在衬底处理系统的处理室中的衬底支撑件上,衬底支撑件例如基座、静电卡盘(ESC)等。例如,在蚀刻期间,将包括一种或多种前体的气体混合物引入处理室中并激励等离子体以蚀刻衬底。
在处理步骤期间,系统的各种部件和衬底本身的温度可以变化。这些温度变化可能对所得的衬底产生不希望有的影响(例如,不均匀的关键尺寸)。温度变化可能对衬底产生所期望的效果。例如,如果在蚀刻之前衬底中存在不均匀性,则可以使用温度的空间控制和蚀刻工艺来校正不均匀性。因此,衬底处理系统可以实现用于在处理期间估算各种部件和衬底的温度的各种系统和方法。
发明内容
一种用于衬底处理系统中的衬底支撑件的温度控制器包括:存储第一模型的存储器,所述第一模型将布置在所述衬底支撑件中的多个第一热控制元件(TCE)的温度和所述衬底支撑件的第一温度响应相关联。所述第一温度响应对应于所述衬底支撑件的表面上的位置。温度估算模块计算所述第一TCE的电阻,基于所计算出的所述电阻确定所述第一TCE的所述温度,以及使用所存储的所述第一模型和所确定的所述第一TCE的所述温度估算所述衬底支撑件的实际温度响应。所述温度控制器被配置为基于所述衬底支撑件的所述实际温度响应来控制所述第一TCE。
一种估算衬底处理系统中的衬底支撑件的温度的方法包括:存储第一模型,所述第一模型将布置在所述衬底支撑件中的多个第一热控制元件(TCE)的温度和所述衬底支撑件的第一温度响应相关联。所述第一温度响应对应于所述衬底支撑件的表面上的位置。所述方法还包括计算所述第一TCE的电阻;基于所计算出的所述电阻确定所述第一TCE的所述温度;使用所存储的所述第一模型和所确定的所述第一TCE的所述温度估算所述衬底支撑件的实际温度响应;以及基于所述衬底支撑件的所述实际温度响应来控制所述第一TCE。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1是根据本发明原理的包括静电卡盘的示例性衬底处理系统的功能框图。
图2A是根据本发明原理的示例性静电卡盘;
图2B示出了根据本发明原理的示例性静电卡盘的区域和大型热控制元件;
图2C示出了根据本发明原理的示例性静电卡盘的区域和微型热控制元件;
图3是根据本公开原理的示例性温度控制器;
图4是根据本公开原理的示例性温度估算模块;
图5A示出了根据本发明原理的针对热控制元件的多个温度中的每一个的测得的电压和电流;
图5B示出了根据本发明原理的对于热控制元件的多个温度中的每一个,相对于测得的电压的计算出的电阻;
图6示出了根据本发明原理的热控制元件的电阻和温度之间的关系;
图7示出了根据本发明原理的静电卡盘的表面位置处的示例性温度响应。
图8示出了使用根据本公开原理的示例性模型的静电卡盘的估算温度;和
图9示出了根据本公开原理的示例性温度估算方法的步骤。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
在衬底处理系统中,可以在处理步骤期间控制诸如静电卡盘(ESC)之类的衬底支撑件的温度。例如,不同的工艺和相应的步骤可能需要将衬底保持在不同的温度下。可以控制ESC的接触表面温度以将衬底保持在期望的温度。仅举例而言,ESC可包括加热板(例如,陶瓷加热板)。衬底可以布置在加热板上。因此,控制加热板的温度以达到所期望的衬底温度。
制造工艺的变化可能导致加热板的特性和加热板的温度控制的性能的相应变化。例如,变化(即,不均匀性)可以包括但不限于加热板构造中的层的厚度和/或导热率的局部变化、加工表面的平坦度的变化和/或加热板内的各个热控制元件(TCE)的特性变化。这些不均匀性可能导致传热的局部差异(即,局部温度不均匀性),并因此导致衬底温度的不均匀性。
其他系统变化可能进一步影响温度不均匀性。其他系统变化可以包括但不限于不同衬底处理室之间的变化、工艺步骤之间的变化(例如,等离子体步骤的存在、类型、数量、持续时间等)、室内的温度和ESC的温度之间的差异、工艺参数的变化(例如,功率,频率等)、各个晶片之间的变化和/或用户输入/约束的变化。
在操作期间可能难以精确地控制和/或测量衬底处理室内(即,原位)的某些条件。因此,衬底处理系统可以实现虚拟计量以估算衬底处理室内的条件。例如,虚拟计量系统和方法可以实现将实际测量条件(例如,使用相应传感器得到的原位测量结果)与其他条件和特性相关联的数学模型。
根据本公开的原理的系统和方法实现虚拟计量以估算ESC的温度(例如,ESC的表面温度,其可以对应于在ESC上处理的晶片的温度)。例如,一些衬底处理系统可以实现大型TCE和微型TCE的组合以补偿ESC中的温度不均匀性。在一示例性实施方式中,包括一个或多个区域(例如,多区域ESC)的ESC可包括用于加热板的每个区域的相应大型TCE和分布在整个加热板中的多个微型TCE。可以单独控制多个微型TCE(其在这里可以称为“加热器”)以补偿ESC的每个区域中的温度不均匀性。本公开的系统和方法将ESC温度建模为微型TCE的操作特性的函数。例如,根据本公开的原理的微型TCE可以包括具有高热灵敏度的材料(例如,钨金属合金)。
以这种方式,当工艺变化影响衬底、ESC和衬底处理系统的其他部件(例如,基板温度、供应到衬底的功率等)之间的关系时,可以更精确地估算处理期间衬底的温度。在一些示例中,可以消除ESC的每个区域中的附加温度传感器。尽管就ESC温度估算进行了描述,但是如本文所述的本公开的原理也可以应用于估算其他衬底处理变量,例如晶片级偏置RF电压、蚀刻速率等。
现在参考图1,示出了使用RF等离子体执行蚀刻的示例性衬底处理系统100。衬底处理系统100包括处理室102,处理室102包围衬底处理室100的其他部件并包含RF等离子体。衬底处理室100包括上电极104和衬底支撑件,例如静电卡盘(ESC)106。在操作期间,衬底108布置在ESC106上。
仅举例而言,上电极104可包括喷头109,其引入和分配工艺气体。喷头109可包括杆部,杆部包括连接到处理室的顶部表面的一端。基部部分通常为圆柱形,并且在与处理室的顶部表面间隔开的位置处从杆部的相对端径向向外延伸。喷头的基部部分的面向衬底的表面或面板包括让工艺气体或吹扫气体流过的多个孔。替代地,上电极104可包括导电板,并且可以以另一种方式引入工艺气体。
ESC 106包括用作下电极的导电基板110。基板110支撑加热板112,加热板112可对应于陶瓷多区域加热板。热阻层114可以布置在加热板112和基板110之间。基板110可以包括用于使冷却剂流过基板110的一个或多个冷却剂通道116。
RF产生系统120产生RF电压并将RF电压输出到上电极104和下电极(例如,ESC 106的基板110)中的一个。上电极104和基板110中的另一个可以是DC接地的、AC接地的或浮动的。仅举例而言,RF产生系统120可以包括RF电压产生器122,其产生RF电压,该RF电压由匹配和分配网络124馈送到上电极104或基板110。在其他示例中,可以感应或远程生成等离子体。
气体输送系统130包括一个或多个气体源132-1、132-2、…和132-N(统称为气体源132),其中N是大于零的整数。气体源提供一种或多种前体及其混合物。气体源还可以供应吹扫气体。也可以使用汽化的前体。气体源132通过阀134-1、134-2、…和134-N(统称为阀134)和质量流量控制器136-1、136-2、…和136-N(统称为质量流量控制器136)与歧管140连接。歧管140的输出被供给到处理室102。仅举例而言,歧管140的输出被供给到喷头109。
温度控制器142可以连接到布置在加热板112中的热控制元件(TCE)144。例如,TCE144可以包括但不限于对应于多区域加热板中的各个区域的相应的大型TCE和/或跨多区域加热板的多个区域设置的微型TCE阵列,如在图2A和2B中更详细描述的。温度控制器142可以用于控制多个TCE 144,以控制ESC 106和衬底108的温度。
温度控制器142可以与冷却剂组件146连通以控制流过通道116的冷却剂流。例如,冷却剂组件146可以包括冷却剂泵和贮存器。温度控制器142操作冷却剂组件146以选择性地使冷却剂流过通道116以冷却ESC 106。
阀150和泵152可用于从处理室102排空反应物。系统控制器160可用于控制衬底处理系统100的部件。机械手170可用于将衬底输送到ESC 106上,和从ESC 106去除衬底。例如,机械手170可以在ESC 106和加载锁172之间传送衬底。虽然温度控制器142示出为单独的控制器,但是温度控制器142可以在系统控制器160内实现。温度控制器142可以根据本公开的原理进一步配置为实现一个或多个模型以估算ESC 106的温度。
现在参考图2A、2B和2C,示出了示例性ESC 200。温度控制器204通过一个或多个电或通信连接208与ESC 200通信。例如,电连接208可包括用于提供电压/功率以控制大型TCE212-1、212-2、212-3和212-4(统称为大型TCE 212)和/或微型TCE 216的连接。连接208可以进一步包括用于接收反馈的连接,所述反馈例如来自基板224(仅举例而言,来自基板传感器)的温度反馈、提供给微型TCE 216的电压和/或电流的测量结果、表示从RF等离子体源(例如,变压器耦合等离子体(或TCP)源)228提供的RF功率的反馈、表示从偏置RF源232提供到ESC 200的偏置RF功率的反馈等。尽管如图2B和2C所示的微型TCE 216可以与大型TCE212以圆形同心布置方式对准,但可以使用微型TCE 216相对于大型TCE 212的其他布置。
如图所示,ESC 200是包括区域236-1、236-2、236-3和236-4(统称为区域236)的多区域ESC。尽管示出为具有四个同心区域236,但在实施方案中,ESC 200可以包括一个、两个、三个或多于四个的区域224。区域236的形状可以变化。例如,区域236可以作为象限或另一种网格状布置提供。仅举例而言,每个区域236包括大型TCE 212中的相应一个。例如,基板228包括冷却剂通道240、形成在基板224上的热阻层244、以及形成在热阻层244上的多区域陶瓷加热板248。加热板248可以包括多个粘合层,多个粘合层包括如图2B所示的第一层252和如图2C所示的第二层256。第一层252包括大型TCE 212,而第二层256包括多个微型TCE 216。
温度控制器204根据期望的设定点温度控制大型TCE 212和微型TCE 216。例如,温度控制器204可以接收(例如,来自如图1所示的系统控制器160的)一个或多个区域236的设定点温度。仅举例而言,温度控制器204可以接收相同的设定点温度以用于所有或一些区域236和/或不同的相应设定点温度以用于区域236中的每一个。每个区域224的设定点温度可以在不同工艺中和在每个工艺的不同步骤中变化。
温度控制器204基于相应的设定点温度和温度反馈来控制每个区域236的大型TCE212。例如,温度控制器204单独调节提供给每个大型TCE 212的功率(例如,电流)以实现设定点温度。大型TCE 212每个可以包括单个电阻线圈或由图2B的虚线示意性地表示的其他结构。因此,调整大型TCE 212中的一个影响整个相应区域236的温度,并且还会影响区域236中的其他区域。
相反,温度控制器204可以单独控制微型TCE 216中的每一个以局部调节区域236的温度。例如,尽管每个微型TCE 216可以完全位于区域236中的一个内,但是调节微型TCE216中的任何一个的热输出可以具有跨越多个区域236和加热板248的局部的热冲击。因此,可以选择性地激活和/或停用微型TCE 216中的一个或多个以进一步调节区域236的温度。温度控制器204实现根据本公开的系统和方法以估算ESC 200的温度,如下面更详细地描述的。
现在参考图3,根据本公开的原理的示例性温度控制器300包括大型TCE控制器304和微型TCE控制器308(在实施方案中,其可以实现为单个控制器)、存储器312和接口316(例如,用于与如图1所示的系统控制器160通信,用于接收用户输入等等)、以及ESC温度估算模块320。仅举例而言,存储器312可以包括非易失性存储器,例如闪存。温度控制器300经由接口316从系统控制器160接收工艺设定点温度(例如,各个工艺步骤的期望设定点温度)和/或其他参数。接口316将工艺设定点温度提供给大型TCE控制器304。工艺设定点温度可以包括每个区域236的单个设定点温度和/或每个相应区域236的不同工艺设定点温度。大型TCE控制器304根据所接收的一个或多个工艺设定点控制大型TCE 212。然后可以控制微型TCE216以遍及每个区域236实现工艺设定点,从而补偿区域236中的温度不均匀性。
ESC温度估算模块320根据大型TCE控制器304、微型TCE控制器308和一个或多个输入324提供的反馈来估算ESC 200的温度。估算的ESC温度可以取决于,例如,区域温度(即,由大型TCE控制器304控制的区域236中的温度)、局部温度(即,由微型TCE控制器308控制的温度)、偏置RF功率、TCP RF功率和基板温度。温度估算模块320针对与ESC温度相关联的输入中的每一个根据相应模型(例如,存储在存储器312中的)估算ESC温度。仅举例而言,每个模型针对每个输入将相应温度贡献与ESC温度相关联。
在一示例中,温度估算模块320接收与每个微型TCE 216相关联的电压和电流的表示。例如,温度估算模块320可以从微型TCE控制器308接收提供给微型TCE 216的电压的表示,并且可以接收经由与微型TCE216串联连接的相应电流传感器328流过微型TCE 216的电流的测量值。然后可以根据所收到的电压和电流信息(例如,使用模型)计算每个微型TCE216的相应电阻。由于微型TCE 216包括电阻加热元件,因此每个微型TCE216的电阻表示ESC 200在相应位置的温度。换句话说,每个微型TCE 216的电阻是温度的函数,因此每个微型TCE 216的计算出的电阻可以映射到相应的温度。温度计算模块320相应地计算每个微型TCE温度对ESC温度的温度贡献,如下面更详细地描述的。
现在参考图4,示例性ESC温度估算模块400包括一个或多个模块404,其被配置为接收多个输入408中的相应一个并相应地产生对ESC温度的相应贡献,其可被称为温度响应。温度响应可以各自对应于模型系数(例如,G1、G2、G3、G4和G5)与输入408中的相应一个的乘积。例如,输入408可以包括基板温度412、偏置RF功率416、TCP RF功率420、用于每个微型TCE 216的电压和电流测量424、以及提供给大型TCE 212的功率输入428。
模块404可以包括TCP RF模块432、偏置RF模块436、基板温度模块440、微型TCE温度模块444和区域温度模块448。温度估算模块400还可以包括电阻模块452和电阻-温度模块456。例如,电阻模块452基于对应的电压和电流测量值424计算每个微型TCE 216的相应电阻。电阻-温度模块456(例如,对于每个微型TCE 216使用将电阻与温度相关的映射)基于由电阻模块452计算的电阻计算温度。电阻-温度模块456将计算的电阻提供给微型TCE温度模块444。
每个模块404实现相应的模型以基于相应的输入408生成和输出温度响应。仅举例而言,如下面更详细地描述的,由模块444、448、440、436和432实现的模型分别由G1、G2、G3、G4和G5表示。模块404的输出在求和节点460处求和以产生ESC温度估算464。可以使用ESC温度估算464进一步控制ESC 200的温度以实现所期望的温度。例如,提供给大型TCE 212和微型TCE 216的电压/功率可以基于ESC温度估算464调整,以更精确地实现所期望的温度。
因此,温度估算464(“temp”)对应于temp=G1*MicroTemp+G2*MacroPower+G3*BaseplateTemp+G4*BiasPower+G5*TCPPower,其中MicroTemp、MacroPower、BaseplateTemp、BiasPower和TCPPower分别对应于模块444、448、440、436和432的输入408。因此,每个输入408由模型G1、G2、G3、G4和G5中的相应一个修改。
仅举例而言,模型G2可以对应于其中k是与大型TCE 212相关联的设备增益,L是与大型TCE 212相关联的时间延迟,并且T是与大型TCE相关联的时间常数。例如,时间延迟可以对应于温度响应延迟。模型G3可以对应于/>其中kbase是与基板相关联的设备增益,Lbase是与基板相关联的时间延迟,并且Tbase是与基板相关联的时间常数。模型G4可以对应于/>其中kbias是与偏置RF功率相关联的设备增益,Lbias是与偏置RF功率相关联的时间延迟,并且Tbias是与偏置RF功率相关联的时间常数。模型G5可以对应于/>其中ktcp是与TCP RF功率相关联的设备增益,Ltcp是与TCPRF功率相关联的时间延迟,并且Ttcp是与TCP RF功率相关联的时间常数。
可以通过在多个温度下测量每个微型TCE 216的电压和电流来计算模型G1,如图5A所示。在一示例中,微型TCE 216的阵列(例如,对应于嵌入在ESC 200内的微型TCE 216,如图2A、2B和2C所示)可以布置在烘箱或处理室内,该烘箱或处理室被配置为维持所需温度。在烘箱处于多个温度(例如,在-40℃至130℃的范围内)中的每一个温度下,向微型TCE216提供电压并测量相应的电流。以这种方式,可以确定在每个相应温度下每个微型TCE216的相应电压和电流。
然后可以使用测得的电压和电流计算每个温度下的电阻。图5B示出了对于多个温度中的每一个温度,计算出的电阻与电压之间的关系。因此,可以确定温度灵敏度(即,微型TCE 216中的所选择的一个TCE 216的电阻对于温度变化的灵敏度)。可以针对微型TCE 216中的一个、微型TCE216的预定部分、所有微型TCE 216等执行该确定。如图6所示,微型TCE216的电阻与相应温度之间的关系近似为线性。以这种方式,电阻-温度模块456可以实现将(例如,基于电压和电流测量值424计算出的)电阻与每个微型TCE 216的估算温度相关联的映射或模型。
可以为每个微型TCE 216确定ESC 200的各个位置处的温度响应。例如,热电偶或其他温度传感器可以在与微型TCE 216中的所选择的一个微型TCE 216相对应的位置处布置在ESC 200的上表面上。图7示出了在ESC 200的相对于微型TCE 216中的相应一个的温度704的表面位置处的温度响应700。如图所示,温度704偏离(即,大于)ESC 200的温度响应700约5-8℃。此外,微型TCE 216的温度响应比ESC 200的温度响应700快。例如,温度响应700相对于温度704的增加会具有大约4秒的延迟。如图所示,微型TCE的温度响应的时间常数约为9秒,而温度响应700的时间常数约为14秒。
根据ESC 200的温度响应704与微型TCE 216的相应估算温度700之间的观察到的关系(例如,如图7所示)来计算模型G1。在一示例中,模型G1可以对应于:
其中,Offset、Gain、延迟L对应于预定常数,并且s是以秒为单位的时间。例如,Offset可以对应于温度704和温度响应700之间的偏移。图8示出了相对于ESC 200的实际测量温度的使用模型G1估算的ESC 200的温度。尽管在本文中描述为线性动态模型,但在一些示例中,模型G1、G2、G3、G4和G5中的一个或多个可以对应于其他模型类型,例如高阶模型、非线性模型等。
现在参考图9,示例性ESC温度估算方法900开始于904。在908处,方法900确定ESC的多个加热元件或加热器(例如,微型TCE216)在多个温度下的电压和电流。在912处,方法900使用所确定的电压和电流来计算微型TCE 216在多个温度中的每个温度下的电阻。在916处,该方法存储表示在多个温度中的每个温度下的电压与计算的电阻之间的关系的数据。例如,存储的数据可以包含在由电阻-温度模块456实现的映射或模型中。在920,方法900确定并存储将微型TCE 216的温度与ESC 200上的相应的表面位置相关联的模型。
在924处,在衬底处理期间,方法900(例如,ESC温度估算模块400)确定微型TCE216的电压和电流。在928处,方法900(例如,电阻模块452)基于所确定的电压和电流确定微型TCE216的电阻。在932处,方法900(例如,电阻-温度模块456)基于电阻确定微型TCE 216的温度。在936处,方法900(例如,实现所存储的模型的微型TCE温度模块444)基于所确定的微型TCE 216的温度来确定ESC 200的相应表面温度响应。在940处,方法900(例如,温度估算模块400)生成并输出ESC 200的估算温度。例如,可以基于在936处确定的温度响应来生成ESC 200的估算温度,以及使用相应模型G2、G3、G4和G5针对其他输入计算温度响应,如上面在图4中所述的。方法900在944结束。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是相对于本公开的任何实施方式描述的那些特征中的任何一个或多个,可以在任何其它实施方式的特征中实现和/或与任何其它实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括工艺气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的加载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (16)

1.一种用于衬底处理系统中的衬底支撑件的温度控制器,所述温度控制器包括:
存储第一模型的存储器,所述第一模型将(i)布置在所述衬底支撑件中的多个第一热控制元件的温度和(ii)所述衬底支撑件的第一温度响应相关联,其中所述第一温度响应对应于所述衬底支撑件的表面上的位置,并且其中所述第一热控制元件被配置为加热所述衬底支撑件;和
温度估算模块,其(i)计算所述第一热控制元件的电阻,(ii)基于所计算出的所述电阻确定所述第一热控制元件的所述温度,以及(iii)使用所存储的所述第一模型和基于所计算出的所述电阻所确定的所述第一热控制元件的所述温度估算所述衬底支撑件的实际温度响应,
其中所述温度控制器被配置为基于所述衬底支撑件的所述实际温度响应来控制所述第一热控制元件以加热所述衬底支撑件。
2.根据权利要求1所述的温度控制器,其中:
所述存储器还存储以下项中的至少一项:
第二模型,其将(i)提供给布置在所述衬底支撑件中的第二热控制元件的功率和(ii)所述衬底支撑件的第二温度响应相关联,
第三模型,其将(i)所述衬底支撑件的基板的温度和(ii)所述衬底支撑件的第三温度响应相关联,
第四模型,其将(i)提供给所述衬底支撑件的偏置射频(RF)功率和(ii)所述衬底支撑件的第四温度响应相关联,以及
第五模型,其将(i)提供给所述衬底处理系统的等离子体RF功率和(ii)所述衬底支撑件的第五温度响应相关联。
3.根据权利要求2所述的温度控制器,其中,为了估算所述衬底支撑件的实际温度响应,所述温度估算模块还基于所存储的所述第二模型、所述第三模型、所述第四模型和所述第五模型中的至少一个来估算所述实际温度响应。
4.根据权利要求2所述的温度控制器,其中所述温度估算模块基于所述第一模型的输出和所述第二模型、所述第三模型、所述第四模型和所述第五模型中的至少一个的输出的总和来估算所述实际温度响应。
5.根据权利要求2所述的温度控制器,其中所述第二模型、所述第三模型、所述第四模型和所述第五模型中的至少一个对应于其中k是设备增益,L是时间延迟,并且T是时间常数。
6.根据权利要求5所述的温度控制器,其中所述第二模型、所述第三模型、所述第四模型和所述第五模型中的所述至少一个的输出对应于和相应的输入的乘积。
7.根据权利要求1所述的温度控制器,其中,所述第一模型对应于其中,Offset对应于所述第一热控制元件的温度与所述第一温度响应之间的偏移,Gain对应于所述第一模型的增益,L对应于时间延迟,并且s对应于以秒为单位的时间。
8.根据权利要求1所述的温度控制器,其中所述存储器存储第二模型,所述第二模型将(i)所计算的所述电阻和(ii)所述第一热控制元件的所述温度相关联,并且其中所述温度估算模块使用所述第二模型和所计算的电阻确定所述第一热控制元件的所述温度。
9.一种估算衬底处理系统中的衬底支撑件的温度的方法,该方法包括:
存储第一模型,所述第一模型将(i)布置在所述衬底支撑件中的多个第一热控制元件的温度和(ii)所述衬底支撑件的第一温度响应相关联,其中所述第一温度响应对应于所述衬底支撑件的表面上的位置,并且其中所述第一热控制元件被配置为加热所述衬底支撑件;
计算所述第一热控制元件的电阻;
基于所计算出的所述电阻确定所述第一热控制元件的所述温度;
使用所存储的所述第一模型和基于所计算出的所述电阻所确定的所述第一热控制元件的所述温度估算所述衬底支撑件的实际温度响应;以及
基于所述衬底支撑件的所述实际温度响应来控制所述第一热控制元件以加热所述衬底支撑件。
10.根据权利要求9所述的方法,其中:
存储以下项中的至少一项:
第二模型,其将(i)提供给布置在所述衬底支撑件中的第二热控制元件的功率和(ii)所述衬底支撑件的第二温度响应相关联,
第三模型,其将(i)所述衬底支撑件的基板的温度和(ii)所述衬底支撑件的第三温度响应相关联,
第四模型,其将(i)提供给所述衬底支撑件的偏置射频(RF)功率和(ii)所述衬底支撑件的第四温度响应相关联,以及
第五模型,其将(i)提供给所述衬底处理系统的等离子体RF功率和(ii)所述衬底支撑件的第五温度响应相关联。
11.根据权利要求10所述的方法,其中,估算所述衬底支撑件的实际温度响应包括基于所存储的所述第二模型、所述第三模型、所述第四模型和所述第五模型中的至少一个来估算所述实际温度响应。
12.根据权利要求10所述的方法,其中估算所述实际温度响应包括基于所述第一模型的输出和所述第二模型、所述第三模型、所述第四模型和所述第五模型中的至少一个的输出的总和来估算所述实际温度响应。
13.根据权利要求10所述的方法,其中所述第二模型、所述第三模型、所述第四模型和所述第五模型中的至少一个对应于其中k是设备增益,L是时间延迟,并且T是时间常数。
14.根据权利要求13所述的方法,其中所述第二模型、所述第三模型、所述第四模型和所述第五模型中的所述至少一个的输出对应于和相应的输入的乘积。
15.根据权利要求9所述的方法,其中,所述第一模型对应于其中,Offset对应于所述第一热控制元件的温度与所述第一温度响应之间的偏移,Gain对应于所述第一模型的增益,L对应于时间延迟,并且s对应于以秒为单位的时间。
16.根据权利要求9所述的方法,其还包括存储第二模型,所述第二模型将(i)所计算的所述电阻和(ii)所述第一热控制元件的所述温度相关联,并且还包括使用所述第二模型和所计算的电阻确定所述第一热控制元件的所述温度。
CN201880007951.8A 2017-01-20 2018-01-18 一种利用热控元件进行esc温度估算的虚拟计量方法 Active CN110199383B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/411,389 2017-01-20
US15/411,389 US10509425B2 (en) 2017-01-20 2017-01-20 Virtual metrology method for ESC temperature estimation using thermal control elements
PCT/US2018/014191 WO2018136608A1 (en) 2017-01-20 2018-01-18 A virtual metrology method for esc temperature estimation using thermal control elements

Publications (2)

Publication Number Publication Date
CN110199383A CN110199383A (zh) 2019-09-03
CN110199383B true CN110199383B (zh) 2023-10-27

Family

ID=62905828

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880007951.8A Active CN110199383B (zh) 2017-01-20 2018-01-18 一种利用热控元件进行esc温度估算的虚拟计量方法

Country Status (6)

Country Link
US (1) US10509425B2 (zh)
JP (1) JP7191832B2 (zh)
KR (1) KR102533847B1 (zh)
CN (1) CN110199383B (zh)
TW (1) TWI782943B (zh)
WO (1) WO2018136608A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020185744A1 (en) * 2019-03-13 2020-09-17 Lam Research Corporation Electrostatic chuck heater resistance measurement to approximate temperature
CN114175208B (zh) * 2019-07-25 2024-05-24 朗姆研究公司 衬底处理系统
EP4189499A1 (en) * 2020-07-27 2023-06-07 Watlow Electric Manufacturing Company Systems and methods for using intermediate data to improve system control and diagnostics
JP7467274B2 (ja) 2020-08-07 2024-04-15 東京エレクトロン株式会社 温度推定方法及び成膜装置
KR20230124728A (ko) * 2021-01-29 2023-08-25 스미토모덴키고교가부시키가이샤 히터 제어 장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10116885A (ja) * 1996-10-08 1998-05-06 Anelva Corp 基板温度制御機構
JP2006114580A (ja) * 2004-10-13 2006-04-27 Canon Inc 温度測定装置及びプラズマ処理装置
JP2007088411A (ja) * 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
JP2010219462A (ja) * 2009-03-19 2010-09-30 Renesas Electronics Corp ウエハ温度シミュレーション装置、ウエハ温度シミュレーション方法及びそのプログラム

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1125314A1 (en) * 1998-07-10 2001-08-22 Applied Materials, Inc. Improved endpoint detection for substrate fabrication processes
US6583638B2 (en) * 1999-01-26 2003-06-24 Trio-Tech International Temperature-controlled semiconductor wafer chuck system
JP2000339039A (ja) 1999-05-25 2000-12-08 Tokyo Electron Ltd 加熱手段の温度制御方法、その装置及び熱処理装置
US7302363B2 (en) * 2006-03-31 2007-11-27 Tokyo Electron Limited Monitoring a system during low-pressure processes
JP4942174B2 (ja) * 2006-10-05 2012-05-30 東京エレクトロン株式会社 基板処理システムの処理レシピ最適化方法,基板処理システム,基板処理装置
JP2008177185A (ja) * 2007-01-16 2008-07-31 Powertech Technology Inc パッケージ構造
JP5203612B2 (ja) * 2007-01-17 2013-06-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4531778B2 (ja) * 2007-02-09 2010-08-25 東京エレクトロン株式会社 温度制御方法、温度調節器および加熱処理装置
JP5219562B2 (ja) * 2007-04-02 2013-06-26 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
NL2006913A (en) * 2010-07-16 2012-01-17 Asml Netherlands Bv Lithographic apparatus and method.
US8633423B2 (en) * 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
US8552346B2 (en) 2011-05-20 2013-10-08 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in an process chamber
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
JP6608923B2 (ja) * 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド 溝に経路指定された光ファイバーによる加熱を含む温度制御装置、基板温度制御システム、電子デバイス処理システム、及び処理方法
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10116885A (ja) * 1996-10-08 1998-05-06 Anelva Corp 基板温度制御機構
JP2006114580A (ja) * 2004-10-13 2006-04-27 Canon Inc 温度測定装置及びプラズマ処理装置
JP2007088411A (ja) * 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
JP2010219462A (ja) * 2009-03-19 2010-09-30 Renesas Electronics Corp ウエハ温度シミュレーション装置、ウエハ温度シミュレーション方法及びそのプログラム

Also Published As

Publication number Publication date
US20180210473A1 (en) 2018-07-26
KR20190100972A (ko) 2019-08-29
KR102533847B1 (ko) 2023-05-17
US10509425B2 (en) 2019-12-17
JP2020506539A (ja) 2020-02-27
TW201841091A (zh) 2018-11-16
TWI782943B (zh) 2022-11-11
WO2018136608A1 (en) 2018-07-26
CN110199383A (zh) 2019-09-03
JP7191832B2 (ja) 2022-12-19

Similar Documents

Publication Publication Date Title
CN110199383B (zh) 一种利用热控元件进行esc温度估算的虚拟计量方法
US10096506B2 (en) Reducing temperature transition in a substrate support
US11029668B2 (en) Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US11028482B2 (en) Use of voltage and current measurements to control dual zone ceramic pedestals
US11908715B2 (en) Dynamic temperature control of substrate support in substrate processing system
US10763142B2 (en) System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
KR20180087145A (ko) 웨이퍼의 다른 cd (critical dimension) 를 예측하기 위해 피드포워드 cd 데이터를 사용하는 가상 계측 시스템들 및 방법들
CN113169109A (zh) 用于增进热均匀性的具有多层加热器的陶瓷基座
JP2023519306A (ja) レーザ透過型センサを用いたウエハの厚さおよびギャップのその場モニタリング
KR20200131912A (ko) 기판 프로세싱 동안 기판 온도 결정 및 제어
US20240194506A1 (en) Dual zone heaters for metallic pedestals
US20220243332A1 (en) Temperature control of a multi-zone pedestal
CN117242561A (zh) 在基于高tcr控制中的信号滤波方案的使用

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant