JP2020506539A - 熱制御素子を用いるesc温度推定のための仮想測定方法 - Google Patents

熱制御素子を用いるesc温度推定のための仮想測定方法 Download PDF

Info

Publication number
JP2020506539A
JP2020506539A JP2019538531A JP2019538531A JP2020506539A JP 2020506539 A JP2020506539 A JP 2020506539A JP 2019538531 A JP2019538531 A JP 2019538531A JP 2019538531 A JP2019538531 A JP 2019538531A JP 2020506539 A JP2020506539 A JP 2020506539A
Authority
JP
Japan
Prior art keywords
temperature
model
substrate support
tce
response
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019538531A
Other languages
English (en)
Other versions
JP2020506539A5 (ja
JP7191832B2 (ja
Inventor
ジャン・タオ
ザニノビチ・ジョージ・ジョゼ
エグレー・フレッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020506539A publication Critical patent/JP2020506539A/ja
Publication of JP2020506539A5 publication Critical patent/JP2020506539A5/ja
Application granted granted Critical
Publication of JP7191832B2 publication Critical patent/JP7191832B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1917Control of temperature characterised by the use of electric means using digital means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Automation & Control Theory (AREA)
  • Electromagnetism (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Control Of Temperature (AREA)
  • Investigating Or Analyzing Materials Using Thermal Means (AREA)
  • Measuring Temperature Or Quantity Of Heat (AREA)
  • Control Of Resistance Heating (AREA)

Abstract

【解決手段】基板処理システムにおける基板支持体のための温度制御装置は、基板支持体に配置された複数の第1の熱制御素子(TCE)の温度と基板支持体の第1の温度応答とを関連付ける第1のモデルを格納するメモリを備える。第1の温度応答は、基板支持体の表面上の位置に対応する。温度推定モジュールは、第1のTCEの抵抗を算出し、算出した抵抗に基づいて第1のTCEの温度を決定し、格納された第1のモデルおよび決定した第1のTCEの温度を用いて基板支持体の実温度応答を推定する。温度制御装置は、基板支持体の実温度応答に基づいて第1のTCEを制御するように構成されている。【選択図】図3

Description

[関連出願の相互参照]
本願は、2017年1月20日出願の米国実用出願第15/411,389号の優先権を主張する。上述した本願の全ての開示は、参照により本明細書に援用される。
本開示は、基板処理システムに関し、特に、基板処理システムにおける基板支持体の温度を推定するためのシステムおよび方法に関する。
本明細書に記載する背景技術についての説明は、一般に、本開示の内容を提示するためのものである。現在、名前が挙げられている発明者の発明は、この背景技術欄と同様に、出願時に先行技術として見なしえない説明の態様において記載される範囲において、本開示に対する先行技術として明示的にも黙示的にも認められない。
基板処理システムは、半導体ウエハなどの基板のエッチング、堆積、および/または、他の処理を実施するために用いられてよい。基板上で実施されうるプロセスの例は、プラズマ強化化学気相堆積(PECVD)プロセス、化学強化プラズマ気相堆積(CEPVD)プロセス、スパッタリング物理気相堆積(PVD)プロセス、イオン注入プロセス、ならびに/または、他のエッチング(例えば、化学エッチング、プラズマエッチング、反応性イオンエッチングなど)、堆積、および、洗浄プロセスを含むが、それらに限定されない。基板は、基板処理システムの処理チャンバにおいて、台座、静電チャック(ESC)などの基板支持体の上に配置されてよい。例えば、エッチング中に、1つ以上の前駆体を含むガス混合物が処理チャンバ内に導入され、プラズマが衝突して基板をエッチングする。
プロセスステップ中に、システムの様々な部品および基板自体の温度は変化しうる。これらの温度変化は、結果として生じた基板に望ましくない影響を及ぼす可能性がある(例えば、不均一な臨界寸法)。温度変化は、基板に望ましい影響を与える可能性もある。例えば、エッチング前の基板に不均一性がある場合は、不均一性を補正するために温度の空間制御およびエッチングプロセスが用いられてよい。それに応じて、基板処理システムは、処理中に様々な部品および基板の温度を推定するための様々なシステムおよび方法を実行してよい。
基板処理システムにおける基板支持体のための温度制御装置は、基板支持体に配置された複数の第1の熱制御素子(TCE)と基板支持体の第1の温度応答とを関連付ける第1のモデルを格納するメモリを備える。第1の温度応答は、基板支持体の表面上の位置に対応する。温度推定モジュールは、第1のTCEの抵抗を算出し、算出した抵抗に基づいて第1のTCEの温度を決定し、格納された第1のモデルおよび決定した第1のTCEの温度を用いて基板支持体の実温度応答を推定する。温度制御装置は、基板支持体の実温度応答に基づいて第1のTCEを制御するように構成されている。
基板処理システムにおける基板支持体の温度を推定する方法は、基板支持体に配置された複数の第1の熱制御素子(TCE)と基板支持体の第1の温度応答とを関連付ける第1のモデルを格納することを含む。第1の温度応答は、基板支持体の表面上の位置に対応する。この方法は、さらに、第1のTCEの抵抗を算出することと、算出した抵抗に基づいて第1のTCEの温度を決定することと、格納された第1のモデルおよび決定した第1のTCEの温度を用いて基板支持体の実温度応答を推定することと、基板支持体の実温度応答に基づいて第1のTCEを制御することとを含む。
本開示のさらなる適用領域は、発明を実施するための形態、特許請求の範囲、および図面から明らかになるだろう。発明を実施するための形態および特定の例は、例示のみを目的とし、本開示の範囲を限定する意図はない。
本開示は、発明を実施するための形態および付随の図面からさらに十分に理解されるだろう。
本開示の原理による静電チャックを備える基板処理システム例の機能ブロック図。
本開示の原理による静電チャック例。
本開示の原理による静電チャック例の区画およびマクロ熱制御素子を表す図。
本開示の原理による静電チャック例の区画およびマイクロ熱制御素子を表す図。
本開示の原理による温度制御装置例。
本開示の原理による温度推定モジュール例。
本開示の原理による熱制御素子の複数の温度のそれぞれの測定電圧および測定電力を表す図。
本開示の原理による熱制御素子の複数の温度のそれぞれの測定電圧に対して算出された抵抗を表す図。
本開示の原理による熱制御素子の抵抗と温度との関係を表す図。
本開示の原理による静電チャックの表面位置における温度応答例を表す図。
本開示の原理によるモデル例を用いる静電チャックの推定温度を表す図。
本開示の原理による温度推定方法例のステップを表す図。
図面では、参照番号は、類似の要素および/または同一の要素を特定するために再利用されてよい。
基板処理システムにおいて、静電チャック(ESC)などの基板支持体の温度は、プロセスステップの間に制御されてよい。例えば、異なるプロセスおよびそれぞれのステップは、基板が異なる温度に維持されることを必要としうる。ESCの接触面温度は、基板を所望の温度に維持するように制御されてよい。例えのみでは、ESCは、加熱板(例えば、セラミック加熱板)を備えてよい。基板は、加熱板の上に配置されてよい。従って、加熱板の温度は、基板の所望の温度を実現するように制御される。
製造プロセスにおける変化は、加熱板の特性および加熱板の温度制御のパフォーマンスにおいて対応する変化をもたらしうる。例えば、変化(すなわち、不均一性)は、加熱板の製造における層の厚さの局所変化および/もしくは熱伝導率の局所変化、機械加工された表面の平坦度の変化、ならびに/または、加熱板内のそれぞれの熱制御素子(TCE)の特性の変化を含みうるが、それらに限定されない。これらの不均一性は、熱伝達における局所差異(すなわち、局所温度の不均一性)を引き起こし、それによって基板温度の不均一性を引き起こしうる。
他のシステム変化は、温度の不均一性にさらに影響を与える可能性がある。他のシステム変化は、異なる基板処理チャンバ間の変化、プロセスステップ間の変化(例えば、プラズマステップの有無、種類、量、期間など)、チャンバ内の温度とESCの温度との間の差異、プロセスパラメータ(例えば、電力、周波数など)における変化、個々のウエハ間の変化、および/または、ユーザ入力/制約における変化を含みうるが、それらに限定されない。
動作中に基板処理チャンバ(例えば、in situ基板処理チャンバ)内のいくつかの条件を正確に制御および/または測定することは難しい可能性がある。従って、基板処理システムは、基板処理チャンバ内の条件を推定するために仮想推定を実施してよい。例えば、仮想推定システムおよび方法は、実測条件(例えば、それぞれのセンサを用いて行われた原位置測定)を他の条件および特性に関連付ける数学モデルを実施してよい。
本開示の原理によるシステムおよび方法は、ESCの温度(例えば、ESC上で処理されるウエハの温度に相当しうるESCの表面温度)を推定するために仮想推定を実施する。例えば、いくつかの基板処理システムは、マクロTCEおよびマイクロTCEの組み合わせを実施して、ESCにおける温度の不均一性を補償してよい。一実施例では、1つまたは複数の区画を備えるESC(例えば、マルチゾーンESC)は、加熱板の各区画のためのそれぞれのマクロTCE、および、加熱板全体に分配された複数のマイクロTCEを備えてよい。複数のマイクロTCE(本明細書では「ヒータ」と呼ばれてよい)は、ESCの各区画における温度の不均一性を補償するために個々に制御されてよい。本開示のシステムおよび方法は、マイクロTCEの特性を動作させる機能としてESCの温度を具現化する。例えば、本開示の原理によるマイクロTCEは、高い熱感度を有する材料(例えば、タングステン金属合金)を含んでよい。
このように、プロセスの変化は、基板、ESC、および基板処理システムの他の要素(例えば、底板温度、底板に供給される電力など)の間の関係に影響を及ぼすため、処理中の基板温度は、より正確に推定されてよい。いくつかの例では、ESCの各区画の追加の温度センサは、取り除かれてよい。ESCの温度推定に関して記載されるが、本明細書に記載の本開示の原理は、ウエハレベルバイアスRF電圧、エッチング速度などの他の基板処理変数を推定するのにも適用されてよい。
ここで図1を参照すると、RFプラズマを用いてエッチングを実施するための基板処理システム100の例が示されている。基板処理システム100は、基板処理チャンバ100の他の部品を取り囲み、RFプラズマを含む、処理チャンバ102を備える。基板処理チャンバ100は、上部電極104と、静電チャック(ESC)106などの基板支持体とを備える。動作中は、基板108は、ESC106の上に配置される。
例えのみでは、上部電極104は、プロセスガスを導入し分配するシャワーヘッド109を備えてよい。シャワーヘッド109は、処理チャンバの上面に接続された一端を有するステム部を含んでよい。基体は、一般に筒状で、処理チャンバの上面から離間した位置でステム部の対向端から径方向外向きに延びる。シャワーヘッドの基体の基板対向面または面板は、プロセスガスまたはパージガスが流れる複数の穴を備える。あるいは、上部電極104は、導電板を備えてよく、プロセスガスは、別の方法で導入されてよい。
ESC106は、下部電極として機能する導電性の底板110を備える。底板110は、セラミックマルチゾーン加熱板に相当しうる加熱板112を支持する。熱抵抗層114は、加熱板112と底板110との間に配置されてよい。底板110は、冷媒を底板110に流すための1つ以上の冷媒流路116を備えてよい。
RF生成システム120は、RF電圧を生成して、上部電極104および下部電極(例えば、ESC106の底板110)の一方に出力する。上部電極104および底板110のもう一方は、DC接地もしくはAC接地されてよく、または浮遊状態であってよい。例えのみでは、RF生成システム120は、整合分配ネットワーク124によって上部電極104または底板110に供給されるRF電圧を生成するRF電圧発生器122を備えてよい。他の例では、プラズマは、誘導的にまたは遠隔的に生成されてよい。
ガス供給システム130は、1つ以上のガス源132−1、ガス源132−2、・・・、ガス源132−N(総称して、ガス源132)を備える(Nは、ゼロより大きい整数)。ガス源は、1つ以上の前駆体、およびその混合物を供給する。ガス源は、パージガスを供給してもよい。気化した前駆体が用いられてもよい。ガス源132は、弁134−1、弁134−2、・・・、弁134−N(総称して、弁134)、および、マスフローコントローラ136−1、マスフローコントローラ136−2、・・・、マスフローコントローラ136−N(総称して、マスフローコントローラ136)によってマニホルド140に接続される。マニホルド140の出力は、処理チャンバ102に供給される。例えのみでは、マニホルド140の出力は、シャワーヘッド109に供給される。
温度制御装置142は、加熱板112に配置された複数の熱制御素子(TCE)144に接続されてよい。例えば、TCE144は、図2Aおよび図2Bでより詳細に記載される、マルチゾーン加熱板の各区画に対応するそれぞれのマクロTCE、および/または、マルチゾーン加熱板の複数区画にわたって配置されたミクロTCEの配列を含んでよいが、それらに限定されない。温度制御装置142は、ESC106および基板108の温度を制御するために、複数のTCE144を制御するのに用いられてよい。
温度制御装置142は、流路116を通る冷媒流を制御するために冷媒アセンブリ146と連通してよい。例えば、冷媒アセンブリ146は、冷媒ポンプおよび槽を備えてよい。温度制御装置142は、ESC106を冷却するために、流路116を通じて冷媒を選択的に流すように冷媒アセンブリ146を動作させる。
弁150およびポンプ152は、処理チャンバ102から反応剤を排出するために用いられてよい。システムコントローラ160は、基板処理システム100の構成部品を制御するために用いられてよい。ロボット170は、基板をESC106の上に提供し、基板をESC106から取り除くために用いられてよい。例えば、ロボット170は、基板をESC106とロードロック172との間で搬送してよい。別々のコントローラとして示されているが、温度制御装置142は、システムコントローラ160の中に実装されてよい。温度制御装置142は、さらに、本開示の原理によるESC106の温度を推定するために1つ以上のモデルを実装するように構成されてよい。
次に、図2A、図2B、および図2Cを参照すると、ESC200の例が示されている。温度制御装置204は、1つ以上の電気接続部または通信接続部208を介してESC200と連通する。例えば、電気接続部208は、マクロTCE212−1、マクロTCE212−2、マクロTCE212−3、およびマクロTCE212−4(総称して、マクロTCE212)、ならびに/または、マイクロTCE216を制御するために電圧/電力を提供するための接続部を備えてよい。接続部208は、さらに、底板224からの(例えのみでは、底板センサからの)温度フィードバック、マイクロTCE216に提供される電圧および/または電流の測定値、RFプラズマ源(例えば、トランス結合プラズマ源またはTCP源)228から提供されるRF電力のフィードバック表示、バイアスRF源232からESC200に提供されるバイアスRF電力のフィードバック表示などの、フィードバックを受信するための接続部を備えてよい。図2Bおよび図2Cに示すように、マイクロTCE216は、マクロTCE212と同心円状で円形に並ぶが、マクロTCE212に対して他の配置のマイクロTCE216が用いられてよい。
図のように、ESC200は、区画236−1、区画236−2、区画236−3、および区画236−4(総称して、区画236)を含むマルチゾーンESCである。実施形態では4つの同心区画236が示されているが、ESC200は、1つ、2つ、3つ、または4つ以上の区画224を備えてよい。区画236の形状は、変化してよい。例えば、区画236は、四分円、または別の格子状の配置として提供されてよい。各区画236は、例えのみでは、マクロTCE212のそれぞれを備える。例えば、底板228は、冷媒流路240、底板224の上に形成された熱抵抗層244、および、熱抵抗層244の上に形成されたマルチゾーンセラミック加熱板248を備える。加熱板248は、図2Bに示す第1の層252、および、図2Cに示す第2の層256を含む多重結合層を備えてよい。第1の層252は、マクロTCE212を備え、第2の層256は、複数のマイクロTCE216を備える。
温度制御装置204は、所望の温度設定点に従ってマクロTCE212およびマイクロTCE216を制御する。例えば、温度制御装置204は、1つ以上の区画236のための温度設定点を受信してよい(例えば、図1のシステムコントローラ160から)。例えのみでは、温度制御装置204は、全てまたはいくつかの区画236について同じ温度設定点を、および/または、各区画236についてそれぞれ異なる温度設定点を受信してよい。各区画224の温度設定点は、異なるプロセスおよび各プロセスの異なるステップによって変化してよい。
温度制御装置204は、それぞれの温度設定点および温度フィードバックに基づいて、各区画236のマクロTCE212を制御する。例えば、温度制御装置204は、温度設定点を実現するために、各マクロTCE212に提供される電力(例えば、電流)を個々に調節する。マクロTCE212は、それぞれ、図2Bの破線によって概略的に表される、単抵抗コイルまたは他の構造を含んでよい。従って、マクロTCE212の1つを調節することは、それぞれの区画236全体の温度に影響を与え、他の区画236にも影響を与えうる。
反対に、温度制御装置204は、区画236の温度を局所的に調節するために、各マイクロTCE216を個々に制御してよい。例えば、各マイクロTCE216は、区画236の1つの中に完全に位置してよいが、マイクロTCE216のいずれか1つの熱出力を調節することは、複数の区画236および加熱板248の局所性にわたって熱衝撃を与える可能性がある。従って、1つ以上のマイクロTCE216は、区画236の温度をさらに調節するために選択的に稼動および/または停止してよい。温度制御装置204は、本開示によるシステムおよび方法を実施して、以下により詳細に説明されるようにESC200の温度を推定する。
次に図3を参照すると、本開示の原理による温度制御装置300の例は、マクロTCEコントローラ304およびマイクロTCEコントローラ308(実施形態では、1つのコントローラとして実装されてよい)、メモリ312、インタフェース316(例えば、ユーザ入力を受信するなどのための図1に示すシステムコントローラ160と通信する)、ならびにESC温度推定モジュール320を備える。例えのみでは、メモリ312は、フラッシュメモリなどの不揮発性メモリを含んでよい。温度制御装置300は、インタフェース316を介してシステムコントローラ160からプロセス温度設定点(例えば、それぞれのプロセスステップについての所望の温度設定点)、および/または、他のパラメータを受信する。インタフェース316は、プロセス温度設定点をマクロTCEコントローラ304に提供する。プロセス温度設定点は、各区画236について1つの温度設定点、および/または、それぞれの区画236の各々について異なるプロセス温度設定点を含んでよい。マクロTCEコントローラ304は、受信したプロセス設定点または設定点に従ってマクロTCE212を制御する。マイクロTCE216は、次に、各区画236にわたってプロセス設定点を実現するように制御されうることで、区画236の温度の不均一性を補償する。
ESC温度推定モジュール320は、マクロTCEコントローラ304、マイクロTCEコントローラ308、および1つ以上の入力324によって提供されるフィードバックに従ってESC200の温度を推定する。推定されたESCの温度は、例えば、区画温度(すなわち、マクロTCEコントローラ304によって制御された区画236の温度)、局所温度(すなわち、マイクロTCEコントローラ308によって制御された温度)、バイアスRF電力、TCP RF電力、および底板温度に依存してよい。温度推定モジュール320は、ESC温度に関連付けられた入力の各々についてそれぞれのモデル(例えば、メモリ312に格納されたモデル)に従ってESCの温度を推定する。例えのみでは、各モデルは、それぞれの温度の寄与を各入力に対するESCの温度に関連付ける。
一例では、温度推定モジュール320は、各マイクロTCE216に関連付けられた電圧および電流の指示を受信する。例えば、温度推定モジュール320は、マイクロTCEコントローラ308からマイクロTCE216に提供される電圧指示を受信し、マイクロTCE216と直列に接続されたそれぞれの電流センサ328を介してマイクロTCE216に流れる電流の測定値を受信してよい。次に、各マイクロTCE216のそれぞれの抵抗は、受信した電圧および電流の情報に従って(例えば、モデルを用いて)算出されてよい。マイクロTCE216が抵抗加熱素子を含むため、各マイクロTCE216の抵抗は、対応する位置におけるESC200の温度を示す。つまり、各マイクロTCE216の抵抗は、温度の関数であるため、算出された各マイクロTCE216の抵抗は、対応する温度に対応付けられてよい。温度算出モジュール320は、以下により詳細に説明されるように、各マイクロTCEの温度に対するESCの温度への温度寄与を適宜算出する。
次に図4を参照すると、ESC温度推定モジュール400の例は、入力408のそれぞれを受信し、温度応答と呼ばれうる対応するESC温度への寄与を適宜生成するように構成された1つ以上のモジュール404を備える。温度応答は、各々、モデル係数(例えば、G1、G2、G3、G4、およびG5)とそれぞれの入力408との積に相当してよい。例えば、入力408は、底板温度412、バイアスRF電力416、TCP RF電力420、各マイクロTCE216の電圧および電流の測定値424、ならびに、マクロTCE212に提供される電力入力428を含んでよい。
モジュール404は、TCP RFモジュール432、バイアスRFモジュール436、底板温度モジュール440、マイクロTCE温度モジュール444、および、区画温度モジュール448を含んでよい。温度推定モジュール400は、さらに、抵抗モジュール452および抵抗−温度モジュール456を含んでよい。例えば、抵抗モジュール452は、各マイクロTCE216のそれぞれの抵抗を対応する電圧および電流の測定値424に基づいて算出する。抵抗−温度モジュール456は、温度を(例えば、抵抗を各マイクロTCE216の温度に関連付けるマップを用いて)抵抗モジュール452によって算出された抵抗に基づいて算出する。抵抗−温度モジュール456は、算出した抵抗をマイクロTCE温度モジュール444に提供する。
各モジュール404は、それぞれのモデルを実行して、それぞれの入力408に基づいて温度応答を生成し出力する。例えのみでは、以下により詳細に説明されるように、モジュール444、モジュール448、モジュール440、モジュール436、およびモジュール432によって実行されたモデルは、それぞれ、G1、G2、G3、G4、およびG5によって表される。モジュール404の出力は、ESC温度推定値464を生成するために加算ノード460で加算される。ESC200の温度は、ESC温度推定値464を用いて所望の温度を実現するようにさらに制御されうる。例えば、マクロTCE212およびマイクロTCE216に提供される電圧/電流は、所望の温度をより正確に実現するためにESC温度推定値464に基づいて調節されてよい。
従って、温度推定値464(「temp」)は、temp=G1*MicroTemp+G2*MacroPower+G3*BaseplateTemp+G4*BiasPower+G5*TCPPowerに相当する(MicroTemp、MacroPower、BaseplateTemp、BiasPower、およびTCPPowerは、それぞれ、モジュール444、モジュール448、モジュール440、モジュール436、およびモジュール432への入力408に相当する)。それに応じて、各入力408は、モデルG1、モデルG2、モデルG3、モデルG4、およびモデルG5のそれぞれによって変更される。
例えのみでは、モデルG2は、
Figure 2020506539
に相当してよい(kは、マクロTCE212に関連付けられたプラントゲイン、Lは、マクロTCE212に関連付けられた時間遅延、Tは、マクロTCE212に関連付けられた時定数)。例えば、時間遅延は、温度応答遅延に相当してよい。モデルG3は、
Figure 2020506539
に相当してよい(kbaseは、底板に関連付けられたプラントゲイン、Lbaseは、底板に関連付けられた時間遅延、Tbaseは、底板に関連付けられた時定数)。モデルG4は、
Figure 2020506539
に相当してよい(kbiasは、バイアスRF電力に関連付けられたプラントゲイン、Lbiasは、バイアスRF電力に関連付けられた時間遅延、Tbiasは、バイアスRF電力に関連付けられた時定数)。モデルG5は、
Figure 2020506539
に相当してよい(ktcpは、TCP RF電力に関連付けられたプラントゲイン、Ltcpは、TCP RF電力に関連付けられた時間遅延、Ttcpは、TCP RF電力に関連付けられた時定数)。
モデルG1は、図5Aに示すように、複数の温度で各マイクロTCE216の電圧および電力を測定することによって算出されてよい。一例では、マイクロTCE216の配列(例えば、図2A、図2B、および図2Cに示すESC200の中に埋設されたマイクロTCE216に相当する)は、所望の温度を維持するように構成されたオーブンまたはプロセスチャンバの中に配置されてよい。複数の温度(例えば、−40℃から130℃の範囲)のオーブンを用いて、電圧がマイクロTCE216に提供され、対応する電流が測定される。このようにして、それぞれの温度で各マイクロTCE216について対応する電圧および電流が決定されてよい。
次に、各温度における抵抗は、測定された電圧および電流を用いて算出されてよい。図5Bは、算出された抵抗と複数の温度の各々における電圧との間の関係を表す。それに応じて、温度感度(すなわち、選択された1つのマイクロTCE216の抵抗の温度変化に対する感度)が決定されてよい。この決定は、1つのマイクロTCE216、マイクロTCE216の所定部分、全てのマイクロTCE216などに対して実施されてよい。図6に示すように、マイクロTCE216の抵抗と対応する温度との間の関係は、略直線状である。このようにして、抵抗−温度モジュール456は、抵抗(例えば、電圧および電流の測定値424に基づいて算出)を各マイクロTCE216の推定温度に関連付けるマップまたはモデルを実装してよい。
ESC200のそれぞれの位置における温度応答は、各マイクロTCE216について決定されてよい。例えば、熱電対または他の温度センサは、選択された1つのマイクロTCE216に対応する位置で、ESC200の上面上に配置されてよい。図7は、対応する1つのマイクロTCE216の温度704に対するESC200の表面位置における温度応答700を表す。図のように、温度704は、ESC200の温度応答700から約5〜8℃オフセットされている(すなわち、温度応答700より約5〜8℃高い)。さらに、マイクロTCE216の温度応答は、ESC200の温度応答700より速い。例えば、温度応答700は、温度704の上昇に対して約4秒の遅延を有しうる。図のように、マイクロTCEの温度応答の時定数は約9秒だが、温度応答700の時定数は約14秒である。
モデルG1は、ESC200の温度応答704と対応するマイクロTCE216の推定温度700との間で観測された関係(例えば、図7参照)に従って算出される。一例では、モデルG1は、
Figure 2020506539
に対応してよい(Offset、Gain、delay Lは、所定の定数、sは秒数)。例えば、Offsetは、温度704と温度応答700との間のオフセットに相当してよい。図8は、ESC200の実測温度に対するモデルG1を用いたESC200の推定温度を表す。本明細書では線形動的モデルとして記載されるが、いくつかの例では、モデルG1、モデルG2、モデルG3、モデルG4、およびモデルG5の1つ以上は、高次モデル、非線形モデルなどの他のモデルタイプに相当してよい。
次に図9を参照すると、ESC温度推定方法900の例は、904で開始する。908では、方法900は、複数の温度におけるESCの複数の加熱素子またはヒータ(例えば、マイクロTCE216)の電圧および電流を決定する。912では、方法900は、決定した電圧および電流を用いて、複数の温度の各々におけるマイクロTCE216の抵抗を算出する。916では、この方法は、複数の温度の各々における電圧と算出した抵抗との間の関係を示すデータを格納する。例えば、格納したデータは、抵抗−温度モジュール456によって実施されたマップまたはモデルに組み込まれてよい。920では、方法900は、マイクロTCE216の温度をESC200の上のそれぞれの表面位置と関連付けるモデルを決定して格納する。
924では、基板処理中に、方法900(例えば、ESC温度推定モジュール400)は、マイクロTCE216の電圧および電流を決定する。928では、方法900(例えば、抵抗モジュール452)は、決定した電圧および電流に基づいてマイクロTCE216の抵抗を決定する。932では、方法900(例えば、抵抗−温度モジュール456)は、抵抗に基づいてマイクロTCE216の温度を決定する。936では、方法900(例えば、格納したモデルを実施するマイクロTCE温度モジュール444)は、決定したマイクロTCE216の温度に基づいてESC200のそれぞれの表面温度応答を決定する。940では、方法900(例えば、温度推定モジュール400)は、ESC200の推定温度を生成して出力する。例えば、ESC200の推定温度は、936で決定された温度応答と同様に、図4で上述したそれぞれのモデルG2、モデルG3、モデルG4、およびモデルG5を用いて他の入力について算出された温度応答に基づいて生成されてよい。方法900は、944で終了する。
前述の説明は、本質的に単なる例示であり、本開示、その適用または使用を限定する意図はない。本開示の広義は、様々な形態で実施されうる。そのため、本開示は特定の例を含むが、他の変更は、図面、明細書、および以下の特許請求の範囲を検討すれば明らかになるため、本開示の真の範囲は、それほど限定されるべきではない。方法内の1つ以上のステップは、本開示の原理を変更することなく異なる順序で(または、同時に)実行されてよい。さらに、各実施形態は特定の特徴を有するとして上述されているが、本開示の実施形態に関して記載された1つ以上のそれらの特徴は、他の実施形態において、および/または、他の実施形態の特徴と組み合わせて実施されうる(その組み合わせが明記されない場合でも)。つまり、記載の実施形態は、相互に排他的ではなく、1つ以上の実施形態の互いの並べ替えは、本開示の範囲内に留まる。
要素間(例えば、モジュール間、回路素子間、半導体層間など)の空間的関係および機能的関係は、「接続された」、「係合された」、「結合された」、「隣接する」、「隣り合う」、「上に」、「上方」、「下方」、および「配置された」を含む様々な用語を用いて説明される。「直接」と明記されない限り、第1の要素と第2の要素との間の関係が上記開示で説明されるときは、その関係は、第1の要素と第2の要素との間に他の介在要素が存在しない直接的な関係でありうるが、同時に、第1の要素と第2の要素との間に1つ以上の介在要素が(空間的または機能的に)存在する間接的な関係でもありうる。本明細書では、A、B、およびCのうちの少なくとも1つとの表現は、非排他的なロジック、または、を用いるロジック(AまたはBまたはC)を意味すると解釈されるべきであり、「Aのうちの少なくとも1つ、Bのうちの少なくとも1つ、およびCのうちの少なくとも1つ」を意味すると解釈されるべきではない。
いくつかの実施形態では、コントローラは、上述の例の一部でありうるシステムの一部である。かかるシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理部品(ウエハ台座、ガス流システムなど)を含む、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後の動作を制御するための電子機器と統合されてよい。電子機器は、システムの様々な部品または副部品を制御しうる「コントローラ」と呼ばれてよい。コントローラは、処理条件および/またはシステムの種類に応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)生成器の設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置動作設定、ツールおよび他の搬送ツール、および/または、特定のシステムに接続またはインタフェースされたロードロックに対するウエハ搬送を含む、本明細書に開示のプロセスを制御するようにプログラムされてよい。
概して、コントローラは、命令を受け取り、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、1つ以上のマイクロプロセッサ、もしくは、プログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(またはプログラムファイル)の形式でコントローラに伝達される命令であって、特定のプロセスを半導体ウエハ上でもしくは半導体ウエハ向けに、またはシステムに対して実行するための動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、プロセスエンジニアによって定義されるレシピの一部であって、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ウエハダイの製作中における1つ以上の処理ステップを実現してよい。
いくつかの実施形態では、コントローラは、システムと統合または結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよく、またはそのコンピュータに結合されてよい。例えば、コントローラは、「クラウド」内にあってよい、または、ウエハ処理のリモートアクセスを可能にするファブホストコンピュータシステムの全てもしくは一部であってよい。コンピュータは、システムへのリモートアクセスを可能にして、製造動作の進捗状況を監視し、過去の製造動作の経歴を調査し、複数の製造動作から傾向または実施の基準を調査し、現在の処理のパラメータを変更し、現在の処理に続く処理工程を設定し、または、新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含みうるネットワークを通じて、プロセスレシピをシステムに提供できる。リモートコンピュータは、次にリモートコンピュータからシステムに伝達されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインタフェースを含んでよい。いくつかの例では、コントローラは、1つ以上の動作中に実施される各処理ステップのためのパラメータを特定するデータ形式の命令を受け取る。パラメータは、実施されるプロセスの種類、および、コントローラがインタフェースするまたは制御するように構成されるツールの種類に固有であってよいことを理解されたい。そのため、上述のように、コントローラは、例えば、互いにネットワーク接続される1つ以上の個別のコントローラを含むことや、本明細書に記載のプロセスや制御などの共通の目的に向かって協働することによって分散されてよい。そのような目的で分散されたコントローラの例は、遠隔に(例えば、プラットフォームレベルで、または、リモートコンピュータの一部として)位置し、協働してチャンバにおけるプロセスを制御する1つ以上の集積回路と連通する、チャンバ上の1つ以上の集積回路であろう。
制限するのではなく、例示のシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、クリーンチャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの製作および/もしくは製造において関連もしくは使用しうる任意の他の半導体処理システムを含んでよい。
上述のように、ツールによって実施されるプロセスステップに応じて、コントローラは、他のツール回路もしくはモジュール、他のツール部品、クラスタツール、他のツールインタフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場においてツール位置および/もしくはロードポートに対してウエハ容器を搬入出する材料搬送に用いられるツール、のうちの1つ以上と連通してよい。

Claims (16)

  1. 基板処理システムにおける基板支持体のための温度制御装置であって、
    (i)前記基板支持体に配置された複数の第1の熱制御素子(TCE)の温度と(ii)前記基板支持体の第1の温度応答とを関連付ける第1のモデルを格納するメモリであって、前記第1の温度応答は、前記基板支持体の表面上の位置に対応する、メモリと、
    (i)前記第1のTCEの抵抗を算出し、(ii)前記算出した抵抗に基づいて前記第1のTCEの前記温度を決定し、(iii)前記格納された第1のモデルおよび前記第1のTCEの前記決定した温度を用いて前記基板支持体の実温度応答を推定する、温度推定モジュールと、を備え、
    前記温度制御装置は、前記基板支持体の前記実温度応答に基づいて前記第1のTCEを制御するように構成されている、温度制御装置。
  2. 請求項1に記載の温度制御装置であって、前記メモリは、さらに、
    (i)前記基板支持体に配置された第2のTCEに提供される電力と(ii)前記基板支持体の第2の温度応答とを関連付ける第2のモデルと、
    (i)前記基板支持体の底板の温度と(ii)前記基板支持体の第3の温度応答とを関連付ける第3のモデルと、
    (i)前記基板支持体に提供されるバイアス高周波(RF)電力と(ii)前記基板支持体の第4の温度応答とを関連付ける第4のモデルと、
    (i)前記基板処理システムに提供されるプラズマRF電力と(ii)前記基板支持体の第5の温度応答とを関連付ける第5のモデル
    のうちの少なくとも1つを格納する、温度制御装置。
  3. 請求項2に記載の温度制御装置であって、
    前記基板支持体の前記実温度応答を推定するために、前記温度推定モジュールは、前記第2のモデル、前記第3のモデル、前記第4のモデル、および前記第5のモデルのうちの前記格納された少なくとも1つにさらに基づいて前記実温度応答を推定する、温度制御装置。
  4. 請求項2に記載の温度制御装置であって、
    前記温度推定モジュールは、前記第1のモデルの出力と、前記第2のモデル、前記第3のモデル、前記第4のモデル、および前記第5のモデルのうちの前記少なくとも1つの出力との和に基づいて前記実温度応答を推定する、温度制御装置。
  5. 請求項2に記載の温度制御装置であって、
    前記第2のモデル、前記第3のモデル、前記第4のモデル、および前記第5のモデルのうちの前記少なくとも1つは、
    Figure 2020506539
    に相当し、kはプラントゲインであり、Lは時間遅延であり、Tは時定数である、温度制御装置。
  6. 請求項5に記載の温度制御装置であって、
    前記第2のモデル、前記第3のモデル、前記第4のモデル、および前記第5のモデルのうちの前記少なくとも1つの出力は、
    Figure 2020506539
    とそれぞれの入力との積に相当する、温度制御装置。
  7. 請求項1に記載の温度制御装置であって、
    前記第1のモデルは、
    Figure 2020506539
    に相当し、Offsetは、前記第1のTCEの温度と前記第1の温度応答との間のオフセットに相当し、Gainは、前記第1のモデルのゲインに相当し、Lは時間遅延に相当し、sは秒数に相当する、温度制御装置。
  8. 請求項1に記載の温度制御装置であって、
    前記メモリは、(i)前記算出された抵抗と(ii)前記第1のTCEの前記温度とを関連付ける第2のモデルを格納し、前記温度推定モジュールは、前記第2のモデルおよび前記算出された抵抗を用いて前記第1のTCEの前記温度を決定する、温度制御装置。
  9. 基板処理システムにおける基板支持体の温度を推定する方法であって、
    (i)前記基板支持体に配置された複数の第1の熱制御素子(TCE)の温度と(ii)前記基板支持体の第1の温度応答とを関連付ける第1のモデルを格納することであって、前記第1の温度応答は、前記基板支持体の表面上の位置に対応することと、
    前記第1のTCEの抵抗を算出することと、
    前記算出された抵抗に基づいて前記第1のTCEの前記温度を決定することと、
    前記格納された第1のモデルおよび前記第1のTCEの前記決定された温度を用いて、前記基板支持体の実温度応答を推定することと、
    前記基板支持体の前記実温度応答に基づいて前記第1のTCEを制御することと、
    を含む、方法。
  10. 請求項9に記載の方法であって、さらに、
    (i)前記基板支持体に配置された第2のTCEに提供される電力と(ii)前記基板支持体の第2の温度応答とを関連付ける第2のモデルと、
    (i)前記基板支持体の底板の温度と(ii)前記基板支持体の第3の温度応答とを関連付ける第3のモデルと、
    (i)前記基板支持体に提供されるバイアス高周波(RF)電力と(ii)前記基板支持体の第4の温度応答とを関連付ける第4のモデルと、
    (i)前記基板処理システムに提供されるプラズマRF電力と(ii)前記基板支持体の第5の温度応答とを関連付ける第5のモデル
    のうちの少なくとも1つを格納することを含む、方法。
  11. 請求項10に記載の方法であって、
    前記基板支持体の前記実温度応答を推定することは、前記第2のモデル、前記第3のモデル、前記第4のモデル、および前記第5のモデルのうちの前記格納された少なくとも1つにさらに基づいて、前記実温度応答を推定することを含む、方法。
  12. 請求項10に記載の方法であって、
    前記実温度応答を推定することは、前記第1のモデルの出力と、前記第2のモデル、前記第3のモデル、前記第4のモデル、および前記第5のモデルのうちの前記少なくとも1つの出力との和に基づいて、前記実温度応答を推定することを含む、方法。
  13. 請求項10に記載の方法であって、
    前記第2のモデル、前記第3のモデル、前記第4のモデル、および前記第5のモデルのうちの前記少なくとも1つは、
    Figure 2020506539
    に相当し、kはプラントゲインであり、Lは時間遅延であり、Tは時定数である、方法。
  14. 請求項13に記載の方法であって、
    前記第2のモデル、前記第3のモデル、前記第4のモデル、および前記第5のモデルのうちの前記少なくとも1つの出力は、
    Figure 2020506539
    とそれぞれの入力との積に相当する、方法。
  15. 請求項9に記載の方法であって、
    前記第1のモデルは、
    Figure 2020506539
    に相当し、Offsetは、前記第1のTCEの温度と前記第1の温度応答との間のオフセットに相当し、Gainは、前記第1のモデルのゲインに相当し、Lは時間遅延に相当し、sは秒数に相当する、方法。
  16. 請求項9に記載の方法であって、さらに、
    (i)前記算出された抵抗と(ii)前記第1のTCEの前記温度とを関連付ける第2のモデルを格納することを含み、さらに、前記第2のモデルおよび前記算出された抵抗を用いて前記第1のTCEの前記温度を決定することを含む、方法。
JP2019538531A 2017-01-20 2018-01-18 熱制御素子を用いるesc温度推定のための仮想測定方法 Active JP7191832B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/411,389 US10509425B2 (en) 2017-01-20 2017-01-20 Virtual metrology method for ESC temperature estimation using thermal control elements
US15/411,389 2017-01-20
PCT/US2018/014191 WO2018136608A1 (en) 2017-01-20 2018-01-18 A virtual metrology method for esc temperature estimation using thermal control elements

Publications (3)

Publication Number Publication Date
JP2020506539A true JP2020506539A (ja) 2020-02-27
JP2020506539A5 JP2020506539A5 (ja) 2021-04-30
JP7191832B2 JP7191832B2 (ja) 2022-12-19

Family

ID=62905828

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019538531A Active JP7191832B2 (ja) 2017-01-20 2018-01-18 熱制御素子を用いるesc温度推定のための仮想測定方法

Country Status (6)

Country Link
US (1) US10509425B2 (ja)
JP (1) JP7191832B2 (ja)
KR (1) KR102533847B1 (ja)
CN (1) CN110199383B (ja)
TW (1) TWI782943B (ja)
WO (1) WO2018136608A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022163214A1 (ja) * 2021-01-29 2022-08-04 住友電気工業株式会社 ヒータ制御装置
JP7467274B2 (ja) 2020-08-07 2024-04-15 東京エレクトロン株式会社 温度推定方法及び成膜装置

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210128025A (ko) * 2019-03-13 2021-10-25 램 리써치 코포레이션 온도를 근사화하기 위한 정전 척 히터 저항 측정
CN114175208B (zh) * 2019-07-25 2024-05-24 朗姆研究公司 衬底处理系统
JP2023535756A (ja) * 2020-07-27 2023-08-21 ワットロー・エレクトリック・マニュファクチャリング・カンパニー システム制御および診断を改善するために中間データを使用するためのシステムおよび方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10116885A (ja) * 1996-10-08 1998-05-06 Anelva Corp 基板温度制御機構
US6605955B1 (en) * 1999-01-26 2003-08-12 Trio-Tech International Temperature controlled wafer chuck system with low thermal resistance
JP2007088411A (ja) * 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
JP2008177185A (ja) * 2007-01-16 2008-07-31 Powertech Technology Inc パッケージ構造
JP2008177285A (ja) * 2007-01-17 2008-07-31 Hitachi High-Technologies Corp プラズマ処理装置
US20120091108A1 (en) * 2010-10-14 2012-04-19 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
JP2013145806A (ja) * 2012-01-13 2013-07-25 Tokyo Electron Ltd プラズマ処理装置及びヒータの温度制御方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1125314A1 (en) * 1998-07-10 2001-08-22 Applied Materials, Inc. Improved endpoint detection for substrate fabrication processes
JP2000339039A (ja) 1999-05-25 2000-12-08 Tokyo Electron Ltd 加熱手段の温度制御方法、その装置及び熱処理装置
JP2006114580A (ja) * 2004-10-13 2006-04-27 Canon Inc 温度測定装置及びプラズマ処理装置
US7302363B2 (en) * 2006-03-31 2007-11-27 Tokyo Electron Limited Monitoring a system during low-pressure processes
JP4942174B2 (ja) * 2006-10-05 2012-05-30 東京エレクトロン株式会社 基板処理システムの処理レシピ最適化方法,基板処理システム,基板処理装置
JP4531778B2 (ja) * 2007-02-09 2010-08-25 東京エレクトロン株式会社 温度制御方法、温度調節器および加熱処理装置
JP5219562B2 (ja) * 2007-04-02 2013-06-26 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
JP2010219462A (ja) * 2009-03-19 2010-09-30 Renesas Electronics Corp ウエハ温度シミュレーション装置、ウエハ温度シミュレーション方法及びそのプログラム
NL2006913A (en) * 2010-07-16 2012-01-17 Asml Netherlands Bv Lithographic apparatus and method.
US8552346B2 (en) 2011-05-20 2013-10-08 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in an process chamber
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
JP6608923B2 (ja) * 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド 溝に経路指定された光ファイバーによる加熱を含む温度制御装置、基板温度制御システム、電子デバイス処理システム、及び処理方法
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10116885A (ja) * 1996-10-08 1998-05-06 Anelva Corp 基板温度制御機構
US6605955B1 (en) * 1999-01-26 2003-08-12 Trio-Tech International Temperature controlled wafer chuck system with low thermal resistance
JP2007088411A (ja) * 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
JP2008177185A (ja) * 2007-01-16 2008-07-31 Powertech Technology Inc パッケージ構造
JP2008177285A (ja) * 2007-01-17 2008-07-31 Hitachi High-Technologies Corp プラズマ処理装置
US20120091108A1 (en) * 2010-10-14 2012-04-19 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
JP2013145806A (ja) * 2012-01-13 2013-07-25 Tokyo Electron Ltd プラズマ処理装置及びヒータの温度制御方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7467274B2 (ja) 2020-08-07 2024-04-15 東京エレクトロン株式会社 温度推定方法及び成膜装置
WO2022163214A1 (ja) * 2021-01-29 2022-08-04 住友電気工業株式会社 ヒータ制御装置
JP7494946B2 (ja) 2021-01-29 2024-06-04 住友電気工業株式会社 ヒータ制御装置

Also Published As

Publication number Publication date
TW201841091A (zh) 2018-11-16
KR102533847B1 (ko) 2023-05-17
CN110199383A (zh) 2019-09-03
WO2018136608A1 (en) 2018-07-26
KR20190100972A (ko) 2019-08-29
US10509425B2 (en) 2019-12-17
TWI782943B (zh) 2022-11-11
US20180210473A1 (en) 2018-07-26
CN110199383B (zh) 2023-10-27
JP7191832B2 (ja) 2022-12-19

Similar Documents

Publication Publication Date Title
JP7191832B2 (ja) 熱制御素子を用いるesc温度推定のための仮想測定方法
US11029668B2 (en) Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US11908715B2 (en) Dynamic temperature control of substrate support in substrate processing system
CN112106181A (zh) 使用电压和电流测量来控制双区陶瓷基座
KR102527489B1 (ko) 웨이퍼의 다른 cd (critical dimension) 를 예측하기 위해 피드포워드 cd 데이터를 사용하는 가상 계측 시스템들 및 방법들
JP2023145608A (ja) エッジリング摩耗補償のためのシステムおよび方法
CN113574648A (zh) 用于估计温度的静电卡盘加热器电阻测量
KR20190087609A (ko) 기판 지지부 온도를 계산하기 위한 시스템 및 방법
JP7454504B2 (ja) 基板処理中の基板温度の決定および制御
US12020960B2 (en) Determining and controlling substrate temperature during substrate processing
CN117242561A (zh) 在基于高tcr控制中的信号滤波方案的使用

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210118

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210322

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220131

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220208

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220428

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220801

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221207

R150 Certificate of patent or registration of utility model

Ref document number: 7191832

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150