JP2023145608A - エッジリング摩耗補償のためのシステムおよび方法 - Google Patents

エッジリング摩耗補償のためのシステムおよび方法 Download PDF

Info

Publication number
JP2023145608A
JP2023145608A JP2023122092A JP2023122092A JP2023145608A JP 2023145608 A JP2023145608 A JP 2023145608A JP 2023122092 A JP2023122092 A JP 2023122092A JP 2023122092 A JP2023122092 A JP 2023122092A JP 2023145608 A JP2023145608 A JP 2023145608A
Authority
JP
Japan
Prior art keywords
corrosion
edge ring
controller
input
amount
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023122092A
Other languages
English (en)
Inventor
カンプ・トム・エー.
A Kamp Tom
リール-バードゥゴ・カルロス
Leal-Verdugo Carlos
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023145608A publication Critical patent/JP2023145608A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Valve-Gear Or Valve Arrangements (AREA)

Abstract

【課題】プラズマ処理システムのペデスタルの外周部に設置するエッジリングの消耗に夜表面高さの低下に対応して、表面高さを一定に保つように制御する。【解決手段】基板処理システム内のエッジリングの高さを調整するためのコントローラは、エッジリング摩耗算出モジュール及びアクチュエータ制御モジュールを備えている。エッジリング摩耗算出モジュールは、エッジリングの1以上の腐食速度を示す少なくとも1つの入力を受信し、少なくとも1つの入力に基づいて、エッジリングの少なくとも1つの腐食速度を算出し、少なくとも1つの腐食速度に基づいて、エッジリングの腐食量を算出する。アクチュエータ制御モジュールは、エッジリング摩耗算出モジュールが算出した腐食量に基づいて、エッジリングの高さを調整する。【選択図】図3A

Description

関連出願への相互参照
本願は、2017年12月5日出願の米国仮出願第62/594,861号の利益を主張する。上記の出願の開示全体が、参照によって本明細書に組み込まれる。
本開示は、基板処理に関し、特に、基板処理内の調整可能なエッジリングの摩耗を補償するためのシステムおよび方法に関する。
本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示するためのものである。ここに名を挙げられている発明者の業績は、この背景技術に記載された範囲において、出願時に従来技術として通常見なされえない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。
半導体ウエハなどの基板を処理するために、基板処理システムが利用されうる。基板に実行されうる処理の例は、化学蒸着(CVD)、原子層蒸着(ALD)、導電体エッチング、および/または、その他のエッチング、蒸着、もしくは、洗浄処理を含むが、これらに限定されない。基板は、基板処理システムの処理チャンバ内の基板支持体(ペデスタル、静電チャック(ESC)など)上に配置されうる。エッチング中、ガス混合物が、処理チャンバに導入されてよく、プラズマが、化学反応を開始するために利用されうる。
基板支持体は、基板を支持するように構成されたセラミック層を備えうる。例えば、ウエハは、処理中にセラミック層にクランプされうる。基板支持体は、基板支持体の外側部分の周りに(例えば、周囲の外側におよび/または周囲に隣接して)配置されたエッジリングを備えうる。エッジリングは、基板上方の空間にプラズマを閉じこめる、プラズマによって引き起こされる腐食から基板支持体を保護する、などのために提供されうる。
基板処理システム内のエッジリングの高さを調整するためのコントローラが、エッジリング摩耗算出モジュールを備えており、そのモジュールは、エッジリングの1以上の腐食速度を示す少なくとも1つの入力を受信し、少なくとも1つの入力に基づいて、エッジリングの少なくとも1つの腐食速度を算出し、少なくとも1つの腐食速度に基づいて、エッジリングの腐食量を算出するよう構成されている。アクチュエータ制御モジュールが、エッジリング摩耗算出モジュールによって算出された腐食量に基づいて、エッジリングの高さを調整するよう構成されている。
別の特徴において、少なくとも1つの入力は、ユーザによって入力された腐食速度を含む。少なくとも1つの入力は、基板処理システムのそれぞれの利用期間に対する複数の腐食速度を含む。少なくとも1つの入力は、基板処理システム内で実行される処理のタイプおよび継続時間を示す情報を含む。少なくとも1つの入力は、エッジリングの高さ、厚さ、および、位置の内の少なくとも1つを示す較正データを含む。
別の特徴において、少なくとも1つの腐食速度を算出するために、エッジリング摩耗算出モジュールは、基板処理システムのそれぞれの利用期間の複数の腐食速度を算出するよう構成されている。エッジリングの腐食量を算出するために、エッジリング摩耗算出モジュールは、それぞれの利用期間の算出された複数の腐食速度に基づいて、腐食量を算出するよう構成されている。複数の腐食速度の各々は、それぞれの利用期間に対して異なる。エッジリング摩耗算出モジュールは、腐食速度を利用期間に対してインデックス化したルックアップテーブルを用いて、複数の腐食速度を算出するよう構成されている。エッジリング摩耗算出モジュールは、モデルを用いて複数の腐食速度を算出するよう構成されている。
別の特徴において、システムが、上記コントローラを備え、さらに、少なくとも1つの入力を受信するよう構成されたユーザインターフェースを備える。ユーザインターフェースは、少なくとも1つの入力として、複数の腐食速度を受信するよう構成されている。ユーザインターフェースは、エッジリング摩耗算出モジュールによって算出された腐食量を表示するよう構成されたディスプレイを備える。
基板処理システム内のエッジリングの高さを調整するための方法が、エッジリングの1以上の腐食速度を示す少なくとも1つの入力を受信する工程と、少なくとも1つの入力に基づいて、エッジリングの少なくとも1つの腐食速度を算出する工程と、少なくとも1つの腐食速度に基づいて、エッジリングの腐食量を算出する工程と、算出された腐食量に基づいて、エッジリングの高さを調整する工程と、を備える。
別の特徴において、少なくとも1つの入力は、ユーザによって入力された腐食速度、基板処理システムのそれぞれの利用期間に対する複数の腐食速度、基板処理システム内で実行される処理のタイプおよび継続時間を示す情報、ならびに、エッジリングの高さ、厚さ、および、位置の内の少なくとも1つを示す較正データ、の内の少なくとも1つを含む。
別の特徴において、少なくとも1つの腐食速度を算出する工程は、基板処理システムのそれぞれの利用期間の複数の腐食速度を算出する工程を含む。エッジリングの腐食量を算出する工程は、それぞれの利用期間の算出された複数の腐食速度に基づいて、腐食量を算出する工程を含む。複数の腐食速度の各々は、それぞれの利用期間に対して異なる。方法は、さらに、腐食速度を利用期間に対してインデックス化したルックアップテーブルと、モデルとの少なくとも一方を用いて、複数の腐食速度を算出する工程を備える。方法は、さらに、ユーザインターフェースを介して少なくとも1つの入力を受信する工程を備える。
詳細な説明、特許請求の範囲、および、図面から、本開示を適用可能なさらなる領域が明らかになる。詳細な説明および具体的な例は、単に例示を目的としており、本開示の範囲を限定するものではない。
本開示は、詳細な説明および以下に説明する添付図面から、より十分に理解できる。
本開示に従って、処理チャンバの一例を示す機能ブロック図。
本開示に従って、下げ位置にある可動エッジリングの一例を示す図。
本開示に従って、上げ位置にある可動エッジリングの一例を示す図。
本開示に従って、コントローラの一例を示す図。
本開示に従って、エッジリングの摩耗量を決定する方法の一例を示す図。
本開示に従って、腐食速度および腐食算出の例を示す図。 本開示に従って、腐食速度および腐食算出の例を示す図。 本開示に従って、腐食速度および腐食算出の例を示す図。
本開示に従って、腐食速度を入力するためのユーザインターフェースの例を示す図。 本開示に従って、腐食速度を入力するためのユーザインターフェースの例を示す図。 本開示に従って、腐食速度を入力するためのユーザインターフェースの例を示す図。 本開示に従って、腐食速度を入力するためのユーザインターフェースの例を示す図。
図面において、同様および/または同一の要素を特定するために、同じ符号を用いる場合がある。
基板処理システム内の基板支持体は、エッジリングを備えうる。エッジリングの上面は、基板支持体の上面よりも上に伸びてよく、それにより、基板支持体の上面(および、一部の例では、基板支持体上に配置された基板の上面)がエッジリングに対して窪んでいる。この窪みは、ポケットと呼ばれてもよい。エッジリングの上面と基板の上面との間の距離が、「ポケット深さ」または「ポケット高さ」と見なされてよい。一般に、ポケット深さは、基板の上面に対するエッジリングの高さに従って固定される。
エッチング処理のいくつかの態様は、基板処理システム、基板、ガス混合物などの特徴により、様々でありうる。例えば、フローパターンひいてはエッチング速度およびエッチング均一性が、エッジリングのポケット深さ、エッジリング形状(すなわち、形)などに従って様々でありうる。一部の処理の例では、エッチング速度全体が、基板の上面とガス分配装置の底面との間の距離が増すにつれて変化する。さらに、エッチング速度は、基板の中心から基板の外周までで変化しうる。例えば、基板の外周では、シースの屈曲およびイオンの傾斜が、シャロートレンチアイソレーション(STI)を引き起こす場合があり、反応種(例えば、エッチャントおよび/または蒸着前駆体)に関連する化学的負荷が、ハードマスククリティカルディメンションのロールオフを引き起こす場合がある。エッジリングの構成(例えば、エッジリングの高さおよび/または形状など)を変化させることで、基板の表面を横切るガス速度プロファイルを変更できる。
エッジリングの部分が、基板処理中にプラズマおよびその他の処理材料への暴露の結果として、時と共に摩耗(すなわち、腐食)する場合がある。したがって、エッジリングは、エッジリングが経験した摩耗の推定量を補償するために持ち上げられる。例えば、エッジリングは、コントローラ、ユーザインターフェースなどに応答して、エッジリングを上下させるように構成されたアクチュエータに結合されてよい。エッジリングの腐食を直接的に測定するためのメカニズム(例えば、センサ、カメラ、など)を備えないシステムでは、エッジリングの腐食が推定されてよい。
本開示の原理に従ったエッジリング摩耗補償システムおよび方法は、エッジリングの腐食を推定し、それに従って腐食を補償するようにエッジリングの高さを調整する。例えば、エッジリングは、1以上の関連する腐食速度を有しうる。いくつかの例において、腐食速度は、時間と共に(例えば、高周波(RF)時間で)変化しうる、実行されている処理に基づいて変化しうる、などである。換言すると、腐食速度は、腐食への感受性が変化しうることから、線形的ではない場合がある。したがって、本明細書に記載のシステムおよび方法は、様々な動作パラメータに基づいて腐食を推定し、推定された腐食に基づいてエッジリングの高さを調整する。一例において、腐食は、それぞれの期間(RF時間すなわちRFh)について異なる腐食速度(例えば、mm/hr、μm/hr、など)を決定することで、各期間の腐食量を算出することによって推定されてよい。次いで、合計腐食が、各期間について算出された腐食量を合わせることによって算出されてよい。
ここで、図1を参照すると、基板処理システムの一例100が示されている。単に例として、基板処理システム100は、RFプラズマを用いたエッチングおよび/またはその他の適切な基板処理を実行するために用いられてよい。基板処理システム100は、基板処理システム100の他の構成要素を収容すると共にRFプラズマを閉じ込める処理チャンバ102を備える。基板処理チャンバ102は、上側電極104と、基板支持体106(静電チャック(ESC)など)とを備える。動作中、基板108が、基板支持体106上に配置される。具体的な基板処理システム100およびチャンバ102が一例として示されているが、本開示の原理は、その場でプラズマを生成する基板処理システム、(例えば、プラズマチューブ、マイクロ波チューブを用いて)遠隔プラズマの生成および供給を実施する基板処理システムなど、他のタイプの基板処理システムおよびチャンバに適用されてもよい。
単に例として、上側電極104は、処理ガスを導入して分散させるガス分配装置(シャワーヘッド109など)を備えてよい。シャワーヘッド109は、処理チャンバの上面に接続された一端を備えるステム部分を備えてよい。ベース部分は、略円筒形であり、処理チャンバの上面から離れた位置でステム部分の反対側の端部から半径方向外向きに広がる。シャワーヘッドのベース部分の基板対向面すなわちフェースプレートは、処理ガスまたはパージガスが流れる複数の穴を備える。あるいは、上側電極104は、導電性のプレートを備えてもよく、処理ガスは、別の方法で導入されてもよい。
基板支持体106は、下側電極として機能する導電性のベースプレート110を備える。ベースプレート110は、セラミック層112を支持する。一部の例において、セラミック層112は、加熱層(セラミックマルチゾーン加熱プレートなど)を備えてよい。熱抵抗層114(例えば、ボンド層)が、セラミック層112とベースプレート110との間に配置されてよい。ベースプレート110は、ベースプレート110に冷却材を流すための1または複数の冷却材流路116を備えてよい。
RF発生システム120は、RF電圧を生成して、上側電極104および下側電極(例えば、基板支持体106のベースプレート110)の一方に出力する。上側電極104およびベースプレート110のもう一方は、DC接地、AC接地されるか、または、浮遊していてよい。単に例として、RF発生システム120は、整合/配電ネットワーク124によって上側電極104またはベースプレート110に供給されるRF電圧を生成するRF電圧発生器122を備えてよい。他の例において、プラズマは、誘導的にまたは遠隔で生成されてよい。例示の目的で示すように、RF発生システム120は、容量結合プラズマ(CCP)システムに対応するが、本開示の原理は、単に例として、トランス結合プラズマ(TCP)システム、CCPカソードシステム、遠隔マイクロ波プラズマ生成/供給システムなど、他の適切なシステムで実施されてもよい。
ガス供給システム130は、1または複数のガス源132-1、132-2、・・・、および、132-N(集合的に、ガス源132)を備えており、ここで、Nはゼロより大きい整数である。ガス源は、1以上のエッチングガス、搬送ガス、パージガスなど、および、それらの混合物を供給する。ガス源は、パージガスを供給してもよい。ガス源132は、バルブ134-1、134-2、・・・、および、134-N(集合的に、バルブ134)ならびにマスフローコントローラ136-1、136-2、・・・、および、136-N(集合的に、マスフローコントローラ136)によってマニホルド140に接続されている。マニホルド140の出力は、処理チャンバ102に供給される。単に例として、マニホルド140の出力は、シャワーヘッド109に供給される。
温度コントローラ142が、セラミック層112に配置された複数の加熱素子(熱制御素子(TCE:thermal control element)144など)に接続されてよい。例えば、加熱素子144は、マルチゾーン加熱プレートにおけるそれぞれの区画に対応するマクロ加熱素子、および/または、マルチゾーン加熱プレートの複数の区画にわたって配置されたマイクロ加熱素子のアレイを含みうるが、これらに限定されない。温度コントローラ142は、複数の加熱素子144を制御して基板支持体106および基板108の温度を制御するために用いられる。
温度コントローラ142は、流路116を通る冷却材の流れを制御するための冷却材アセンブリ146と連通してよい。例えば、冷却材アセンブリ146は、冷却材ポンプおよびリザーバを備えてよい。温度コントローラ142は、基板支持体106を冷却するために流路116を通して冷却材を選択的に流すように、冷却材アセンブリ146を作動させる。
バルブ150およびポンプ152が、処理チャンバ102から反応物質を排出するために用いられてよい。システムコントローラ160が、基板処理システム100の構成要素を制御するために用いられてよい。ロボット170が、基板支持体106上へ基板を供給すると共に、基板支持体106から基板を除去するために用いられてよい。例えば、ロボット170は、基板支持体106およびロードロック172の間で基板を搬送してよい。別個のコントローラとして示しているが、温度コントローラ142は、システムコントローラ160内に実装されてもよい。一部の例において、保護シール176が、セラミック層112とベースプレート110との間のボンド層114の周囲に提供されてもよい。
基板支持体106は、エッジリング180を備える。本開示の原理に従ったエッジリング180は、基板108に対して移動可能(例えば、垂直方向に上下に移動可能)である。例えば、エッジリング180は、コントローラ176に応答して、アクチュエータを介して制御されてよい。いくつかの例では、ユーザが、ユーザインターフェース184を介してコントローラ176に制御パラメータ(例えば、腐食速度)を入力してもよく、ユーザインターフェース184は、1以上の入力メカニズム、ディスプレイ、などを含んでよい。
ここで、図2Aおよび図2Bを参照すると、本開示の原理に従って基板204を上に配置された基板支持体200が示されている。基板支持体200は、(例えば、ESCに対応する)内側部分208および外側部分212を有するベースまたはペデスタルを備えてよい。例において、外側部分212は、内側部分208から独立しており、内側部分208に対して移動可能であってよい。基板204は、処理中に内側部分208上に配置される。コントローラ216(例えば、システムコントローラ160に対応する)が、1または複数のアクチュエータ220と通信して、支持体200のポケット深さを調節するためにエッジリング224を選択的に上下させる。単に例として、エッジリング224は、図2Aでは完全下げ位置、そして、図2Bでは完全上げ位置の一例に示されている。図に示すように、アクチュエータ220は、垂直方向にピン228を選択的に伸び縮みさせるように構成されたピンアクチュエータに対応する。その他の適切なタイプのアクチュエータが、別の例で用いられてもよい。単に例として、エッジリング224は、セラミックまたは石英のエッジリングに対応する。図2Aにおいて、コントローラ216は、アクチュエータ220と通信して、ピン228を介してエッジリング224を直接的に上下させる。一部の例において、内側部分208は、エッジリング224に対して移動可能である。エッジリング224は、後に詳述するように1以上の関連する腐食速度を有しうる。
ここで、図3Aを参照すると、コントローラの一例300が、エッジリングの摩耗の量(例えば、mmまたはμm)を算出するよう構成されたエッジリング摩耗算出モジュール304を備える。例えば、エッジリング摩耗算出モジュール304は、1以上の入力308を受信し、入力308は:エッジリングの初期エッジリング厚さ、高さ、位置などを示す較正データ;処理パラメータ(例えば、用いられる材料、処理のタイプ、処理の継続時間を示す情報(開始および終了時間、処理チャンバ内の温度のような情報)など);チャンバ特性;ユーザ定義の変数;ユーザ入力;センサ測定値、などを含むが、それらに限定されない。ユーザ入力は、1以上の腐食速度を含んでよい。エッジリング摩耗算出モジュール304は、受信された入力308に基づいて、エッジリング摩耗を算出する。例えば、エッジリング摩耗算出モジュール304は、それぞれの処理期間中の腐食速度(例えば、ユーザインターフェース310を介したユーザによる入力、メモリ312に格納されたもの、様々な処理パラメータに基づいて算出されたもの、および/または、それらの組み合わせ)と、それぞれの処理期間の継続時間(例えば、RF時間)とに従って、エッジリング摩耗を算出してよい。データは、利用期間に対して腐食速度をインデックス化した1以上のルックアップテーブル、エッジリング摩耗算出モジュール304によって実行されるモデル、などを含みうるが、それらに限定されない。
エッジリング摩耗算出モジュール304は、さらに、算出した摩耗に基づいてエッジリングの高さを調整するための量(すなわち、エッジリング調整データ)を算出し、エッジリング調整データをアクチュエータ制御モジュール316に提供するよう構成されている。アクチュエータ制御モジュール316は、エッジリング調整データに基づいて1以上の制御信号を出力して、それぞれのアクチュエータを制御する。例えば、制御信号は、図2Aおよび図2Bに示したアクチュエータ220などのアクチュエータに提供されてよい。
ここで、図3Bを参照すると、エッジリング摩耗量を算出するための方法の例320が、工程324で始まる。工程328で、方法320(例えば、エッジリング摩耗算出モジュール304)は、処理チャンバ内のエッジリングの高さおよび/または厚さを初期化する。例えば、エッジリング摩耗算出モジュール304は、設置、メンテナンスなどの間に、エッジリングの厚さのセンサ測定値または物理的な測定値に基づいて、エッジリングの初期高さを決定してよい。工程332で、方法320は、チャンバ内で実行される処理の継続時間(RF時間の単位)を監視するためのタイマーまたはカウンタを初期化する。工程336で、チャンバ内での基板処理が始まり、タイマーが起動される。
工程340で、方法320(例えば、エッジリング摩耗算出モジュール304)は、関連する腐食速度に従って、現在の利用期間中のエッジリング摩耗を算出する。工程344で、方法320は、処理が完了したか否かを判定する。完了した場合、方法320は、工程348に進む。完了していない場合、方法320は、工程352に進む。工程352で、方法320(例えば、エッジリング摩耗算出モジュール304)は、異なる腐食速度を選択するか否かを判定する。例えば、エッジリング摩耗算出モジュール304は、(例えば、タイマーが、1以上のRF時間閾値(50RF時間、200RF時間、など)を超えたことに応じて)異なる利用期間へ移行する時に、異なる腐食速度を選択してよい。選択する場合、方法320は、工程356に進む。選択しない場合、方法320は、工程340に進む。工程356で、方法320(例えば、エッジリング摩耗算出モジュール304)は、新たな腐食速度を選択し、工程340に進む。
工程348で、方法320(例えば、エッジリング摩耗算出モジュール304)は、工程340で各利用期間に算出したエッジリング摩耗に従って、エッジリングの腐食の全量(例えば、累積量)を算出する。いくつかの例では、エッジリングの高さが、算出された腐食量に基づいて、工程350で調整される。例えば、エッジリングは、腐食量と等しい量、エッジリングが最後に持ち上げられた時から起きた腐食量と等しい量、など、だけ持ち上げられてよい。方法320は、工程360で終了する。
ここで、図4A、図4B、および、図4Cを参照すると、腐食速度および摩耗補償の例が示されている。図4Aには、腐食速度の例400(μm/RFh)が、1000RF時間にわたって示されている。例えば、腐食速度400は、経時的に(例えば、テストチャンバ内のセンサ、物理的な測定、などを用いて)1以上のエッジリングでそれぞれの腐食量を測定することによって算出されてよい。図に示すように、腐食速度400は、実質的に非線形である。例えば、腐食速度400は、最初の利用期間(例えば、利用の0~250RF時間)に0.7μm/RFhから急激に増大し、2回目の利用期間(例えば、利用の250~800時間)に1.1~1.3μm/RFhの間で変化しうる。
図4Bには、腐食速度の例404(mm/RFh)が、6RF時間にわたって示されている。図に示すように、腐食速度404は、比較的短い利用期間でも変化しうる。例えば、腐食速度404は、0~3または3.5RF時間の利用期間では実質的に線形でありうるが、同じ利用期間にわたって各半時間の期間で変化しうる(例えば、0.05mm/RFhから0.08/RFh)。
したがって、エッジリング摩耗算出モジュール304は、それぞれの利用期間の異なる腐食速度に基づいて、エッジリングの摩耗量を決定する。例えば、エッジリング摩耗算出モジュール304は、処理パラメータに従って1以上のベース腐食速度を調整するモデルを用いて、ユーザ入力に応答して、などして、定期的に(例えば、各半時間の利用期間、100時間の利用期間、不均一な所定の利用期間、などで)腐食速度を決定する(そして、摩耗算出のために調整する)よう構成されてよい。それぞれの腐食速度は、エッジリング摩耗算出モジュール304によって算出される、エッジリング摩耗算出モジュール304によってリトリーブされるようにメモリ312に格納される、処理の開始時または処理中にユーザによって入力される、などしてよい。
腐食速度が均一および/または不均一な所定の利用期間について決定される例において、利用期間は、図4Aおよび図4Bに示すように以前に観察/測定された腐食速度に基づいて決定されてよい。例えば、関連する腐食速度を有する利用期間が、所定の変化量を超えて(例えば、0.1、0.2μm/RFh、などを超えて)変化していない腐食速度を有する期間に対応してよい。別の例において、利用期間が、隣接する利用期間内の平均腐食速度に基づいて規定されてもよい。例えば、時間移動窓(例えば、50RFh)内の平均腐食速度が、移動窓の以前の位置(例えば、5RFh、10RFh、などだけずれた位置)内の平均腐食速度から所定の量(例えば、0.1μm/RFh、0.2μm/RFh、など)を超えて異なる場合、関連する腐食速度を有する利用期間は、それに従って規定されてよい。
例えば、図4Cに示すように、第1利用期間408が、0.8μm/RFhの第1腐食速度に関連しうる(つまり、第1利用期間においては、0.8×300すなわち240ミクロンになる)。一方、第2利用期間412が、1.0μm/RFhの第2腐食速度に関連し(第1利用期間における腐食量(240ミクロン)と、第2利用期間412の腐食量(1.0×200すなわち200ミクロン)との合計で、440ミクロンの累積腐食になる)、第3利用期間416が、1.1μm/RFhの第3腐食速度に関連し(第1利用期間408、第2利用期間412、および、第3利用期間416について、770ミクロンの累積腐食になる)、第4利用期間420が、0.9μm/RFhの第4腐食速度に関連しうる(1000RFhについて、950ミクロンの累積腐食になる)。関連する腐食速度は、それぞれの利用期間中の平均腐食速度に対応しうる。
一例において、隣接する利用期間の間およびそれぞれの腐食速度の間の遷移は、50RF時間の移動窓にわたる平均腐食速度の変化に従って規定されてよい。例えば、424に示す50RF時間の継続時間を有する移動窓での平均腐食速度は、第1利用期間408の平均腐食速度0.8μm/RFhの0.1μm/RFh以内にありうる。一方、428に示す移動窓における平均腐食速度は、1.0μm/RFhの平均腐食速度を有しうる。したがって、第1腐食速度を有する第1利用期間408から第2腐食速度を有する第2利用期間412への遷移は、300RF時間に規定されうる。
このように、それぞれの利用期間中の異なる腐食速度は、エッジリングの寿命にわたってエッジリング位置の制御に適用される補償のシーケンスに対応する。例えば、腐食速度および関連する利用期間が、メモリ312に格納される。一例において、腐食速度は、腐食速度をそれぞれの利用期間に対してインデックス化するテーブルとして格納される。腐食速度および利用期間の一方または両方が、ユーザによって入力されてよい。
エッジリング摩耗算出モジュール304は、さらに、エッジリングの総利用(すなわち、RF時間での累積)を監視するよう構成される。例えば、エッジリング摩耗算出モジュール304は、利用を監視して、それに従ってエッジリングの総利用を格納するタイマーまたはカウンタを備えてよい。腐食を算出する時、エッジリング摩耗算出モジュール304は、総利用と、それぞれの利用期間における異なる腐食速度とに従って、総(すなわち累積)腐食を算出する。例えば、総利用が150RF時間である場合、腐食は、0.8μm/RFh×150RF時間、に対応しうる。一方、総利用が400RF時間である場合、腐食は、0.8μm/RFh×300RF時間+1.0μm/RFh×100RF時間、に対応しうる。
ここで、図5A、図5B、図5C、および、図5Dを参照すると、腐食速度を入力するためのユーザインターフェースの一例500(例えば、図1のユーザインターフェース184、図3Aのユーザインターフェース310、などに対応する)が示されている。例えば、ユーザは、504で腐食速度算出を無効にするか、508で単一の(例えば、線形の)腐食速度を選択して、512に選択した腐食速度を入力するか、もしくは、516でマルチ腐食速度(例えば、非線形のマルチ速度)を選択することができる。マルチ腐食速度が選択された場合、ユーザは、各腐食速度と、関連する利用期間の開始時間(RF時間で)とを、520に入力してよい。各利用期間に対して算出された腐食量が、524に(例えば、リアルタイムで)表示されうる。ユーザは、さらなる行(すなわち、利用期間、開始時間、および、関連する腐食速度)を追加してよい、および/または、行を削除してよい。エッジリング摩耗算出モジュール304は、各期間について入力腐食速度に基づいて腐食を算出する。例えば、図に示すように、エッジリング摩耗算出モジュール304は、520に入力された腐食速度に従って、0RF時間に始まる利用期間について腐食を算出する。総利用が、528に表示されうる。算出された腐食量が、532に表示されうる。いくつかの例において、総利用および腐食量は、536でリセット(すなわち、ゼロにリセット)されうる。
インターフェース500は、540にベースポケット高さを表示しうる。例えば、ベースポケット高さは、腐食が原因で行う任意の調整前のエッジリングのポケット高さに対応しうる。一方、現在の高さが、544に表示されうる。現在の高さは、算出された腐食によって減ったベースポケット高さに対応する。図5Bに示すように、現在の高さは、(ベースポケット高さ2.560mm)-(算出された腐食0.290mm)、である。換言すると、(例えば、現在の処理工程またはレシピの後の)次の調整時に、エッジリング摩耗算出モジュール304は、算出された腐食を補償するために、エッジリングを0.290mmだけ上に調整してよい。
インターフェース500は、さらに、較正摩耗(腐食)量を548に表示しうる。例えば、較正摩耗量は、エッジリングの物理的に測定された腐食量(例えば、設置、メンテナンス/クリーニング、定期的な較正、などの際に測定された腐食量)に対応してよく、製造公差、以前の利用、などによるエッジリング厚さのばらつきを説明しうる。換言すると、利用が0RFhの時点で、エッジリングの厚さが、すでに、或る所定値または予測値よりも小さい場合がある。したがって、総腐食量552は、較正摩耗量および算出腐食の合計に対応しうる。
インターフェース500は、556に示すようにエッジリング寿命アラートを備えてよく、そのアラートは、選択的に有効化または無効化できる。例えば、インターフェース500は、総腐食量552が、所定の腐食閾値(図5Cに示すように、腐食量560(mm)、腐食したまたは残ったエッジリングの割合564、総RF時間568、など)を超えた場合に、ユーザに警告してよい。いくつかの例において、腐食閾値は、少なくとも部分的には、エッジリングの外径の厚さに基づいてよい。例えば、エッジリングの内径は、エッジリングの外径よりも速い速度で摩耗しうる。したがって、エッジリングが、エッジリングの内径への腐食を補償するように上へ向かって調整されると、エッジリングの外径は、エッジリングの元の(すなわち、設置時または較正時の)高さよりも高い高さまで、ますます持ち上げられうる。いくつかの例において、エッジリングの持ち上げられた外径は、基板処理システムの動作を妨げうる。例えば、エッジリングの外径は、エッジリングよりも高い他の構造、ロボット、などと干渉し得る。このように、エッジリング摩耗算出モジュール304は、さらに、エッジリングの外径の腐食を算出し、エッジリングが腐食を補償するために持ち上げられた量に基づいてエッジリングの外径の高さを算出し、それに従ってリング寿命アラートを作動させるよう構成されてよい。
図5Dに示す別の例において、インターフェース500は、ユーザが、複数のマルチ速度572の1つを選択することを可能にしてもよい。例えば、マルチ速度572は、異なる所定のおよび/またはカスタマイズされた(すなわち、ユーザ入力または調整された)マルチ速度に対応しうる。マルチ速度572の各々は、異なる非線形腐食速度、異なる腐食速度モデル、などに対応しうる。例えば、ユーザは、現在のレシピ、基板タイプ、および/または、その他の処理またはシステムパラメータに基づいて、マルチ速度572の内の異なる1つを選択してよい。このように、腐食量は、エッジリングの総利用期間にわたって、複数の異なる選択された線形腐食速度および/または非線形腐食マルチ速度に従って算出されうる。
したがって、腐食補償(例えば、エッジリングが、算出された腐食を補償するために調整される量)は、それぞれのレシピに従って制御されうる。換言すると、第1腐食補償量が、第1レシピに対して選択された第1腐食マルチ速度に従って算出されてよく、それに従って、エッジリングが調整されてよい。一方、第2腐食算出量が、第2レシピに対して選択された第2腐食マルチ速度に従って算出されてよい。したがって、エッジリングが調整される量は、選択されたレシピ、および/または、レシピに対して選択されたマルチ速度の内の特定の1つに基づいて変化しうる。レシピの実行および/またはエッジリングの調整の後に、腐食速度が、システムまたは処理ツールのデフォルトの腐食速度に戻る、新しい腐食速度を入力するようユーザに求める、などしてよい。
上述の記載は、本質的に例示に過ぎず、本開示、応用例、または、利用法を限定する意図はない。本開示の広範な教示は、様々な形態で実施されうる。したがって、本開示には特定の例が含まれるが、図面、明細書、および、以下の特許請求の範囲を研究すれば他の変形例が明らかになるため、本開示の真の範囲は、それらの例には限定されない。方法に含まれる1または複数の工程が、本開示の原理を改変することなく、異なる順序で(または同時に)実行されてもよいことを理解されたい。さらに、実施形態の各々は、特定の特徴を有するものとして記載されているが、本開示の任意の実施形態に関して記載された特徴の内の任意の1または複数の特徴を、他の実施形態のいずれかに実装することができる、および/または、組み合わせが明確に記載されていないとしても、他の実施形態のいずれかの特徴と組み合わせることができる。換言すると、上述の実施形態は互いに排他的ではなく、1または複数の実施形態を互いに置き換えることは本開示の範囲内にある。
要素の間(例えば、モジュールの間、回路要素の間、半導体層の間)の空間的関係および機能的関係性が、「接続される」、「係合される」、「結合される」、「隣接する」、「近接する」、「の上部に」、「上方に」、「下方に」、および、「配置される」など、様々な用語を用いて記載されている。第1および第2要素の間の関係性を本開示で記載する時に、「直接」であると明確に記載されていない限り、その関係性は、他に介在する要素が第1および第2の要素の間に存在しない直接的な関係性でありうるが、1または複数の介在する要素が第1および第2の要素の間に(空間的または機能的に)存在する間接的な関係性でもありうる。本明細書で用いられているように、「A、B、および、Cの少なくとも1つ」という表現は、非排他的な論理和ORを用いて、論理(AまたはBまたはC)を意味すると解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、および、Cの少なくとも1つ」という意味であると解釈されるべきではない。
いくつかの実施例において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。一部の例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
基板支持体106は、エッジリング180を備える。本開示の原理に従ったエッジリング180は、基板108に対して移動可能(例えば、垂直方向に上下に移動可能)である。例えば、エッジリング180は、コントローラ160に応答して、アクチュエータを介して制御されてよい。いくつかの例では、ユーザが、ユーザインターフェース184を介してコントローラ160に制御パラメータ(例えば、腐食速度)を入力してもよく、ユーザインターフェース184は、1以上の入力メカニズム、ディスプレイ、などを含んでよい。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。本開示は、以下の形態により実現されてもよい。
[形態1]
基板処理システム内のエッジリングの高さを調整するためのコントローラであって、
エッジリング摩耗算出モジュールであって、
前記エッジリングの1以上の腐食速度を示す少なくとも1つの入力を受信し、
前記少なくとも1つの入力に基づいて、前記エッジリングの少なくとも1つの腐食速度を算出し、
前記少なくとも1つの腐食速度に基づいて、前記エッジリングの腐食量を算出するよう構成された、エッジリング摩耗算出モジュールと、
前記エッジリング摩耗算出モジュールによって算出された前記腐食量に基づいて、前記エッジリングの前記高さを調整するよう構成されたアクチュエータ制御モジュールと、
を備える、コントローラ。
[形態2]
形態1に記載のコントローラであって、
前記少なくとも1つの入力は、ユーザによって入力された腐食速度を含む、コントローラ。
[形態3]
形態1に記載のコントローラであって、
前記少なくとも1つの入力は、前記基板処理システムのそれぞれの利用期間に対する複数の腐食速度を含む、コントローラ。
[形態4]
形態1に記載のコントローラであって、
前記少なくとも1つの入力は、前記基板処理システム内で実行される処理のタイプおよび継続時間を示す情報を含む、コントローラ。
[形態5]
形態1に記載のコントローラであって、
前記少なくとも1つの入力は、前記エッジリングの前記高さ、厚さ、および、位置の内の少なくとも1つを示す較正データを含む、コントローラ。
[形態6]
形態1に記載のコントローラであって、
前記少なくとも1つの腐食速度を算出するために、前記エッジリング摩耗算出モジュールは、前記基板処理システムのそれぞれの利用期間中の複数の腐食速度を算出するよう構成されている、コントローラ。
[形態7]
形態6に記載のコントローラであって、
前記エッジリングの前記腐食量を算出するために、前記エッジリング摩耗算出モジュールは、前記それぞれの利用期間中の算出された前記複数の腐食速度に基づいて、前記腐食量を算出するよう構成されている、コントローラ。
[形態8]
形態6に記載のコントローラであって、
前記複数の腐食速度の各々は、前記それぞれの利用期間に対して異なる、コントローラ。
[形態9]
形態6に記載のコントローラであって、
前記エッジリング摩耗算出モジュールは、腐食速度を利用期間に対してインデックス化したルックアップテーブルを用いて、前記複数の腐食速度を算出するよう構成されている、コントローラ。
[形態10]
形態6に記載のコントローラであって、
前記エッジリング摩耗算出モジュールは、モデルを用いて前記複数の腐食速度を算出するよう構成されている、コントローラ。
[形態11]
形態1に記載のコントローラを備えるシステムであって、
さらに、前記少なくとも1つの入力を受信するよう構成されたユーザインターフェースを備える、システム。
[形態12]
形態11に記載のシステムであって、
前記ユーザインターフェースは、前記少なくとも1つの入力として、複数の腐食速度を受信するよう構成されている、システム。
[形態13]
形態11に記載のシステムであって、
前記ユーザインターフェースは、前記エッジリング摩耗算出モジュールによって算出された前記腐食量を表示するよう構成されたディスプレイを備える、システム。
[形態14]
基板処理システム内のエッジリングの高さを調整するための方法であって、
前記エッジリングの1以上の腐食速度を示す少なくとも1つの入力を受信する工程と、
前記少なくとも1つの入力に基づいて、前記エッジリングの少なくとも1つの腐食速度を算出する工程と、
前記少なくとも1つの腐食速度に基づいて、前記エッジリングの腐食量を算出する工程と、
前記算出された腐食量に基づいて、前記エッジリングの前記高さを調整する工程と、
を備える、方法。
[形態15]
形態14に記載の方法であって、
前記少なくとも1つの入力は、ユーザによって入力された腐食速度、前記基板処理システムのそれぞれの利用期間に対する複数の腐食速度、前記基板処理システム内で実行される処理のタイプおよび継続時間を示す情報、ならびに、前記エッジリングの前記高さ、厚さ、および、位置の内の少なくとも1つを示す較正データ、の内の少なくとも1つを含む、方法。
[形態16]
形態14に記載の方法であって、
前記少なくとも1つの腐食速度を算出する工程は、前記基板処理システムのそれぞれの利用期間中の複数の腐食速度を算出する工程を含む、方法。
[形態17]
形態16に記載の方法であって、
前記エッジリングの前記腐食量を算出する工程は、前記それぞれの利用期間中の算出された前記複数の腐食速度に基づいて、前記腐食量を算出する工程を含む、方法。
[形態18]
形態16に記載の方法であって、
前記複数の腐食速度の各々は、前記それぞれの利用期間に対して異なる、方法。
[形態19]
形態16に記載の方法であって、
さらに、腐食速度を利用期間に対してインデックス化したルックアップテーブルと、モデルとの少なくとも一方を用いて、前記複数の腐食速度を算出する工程を備える、方法。
[形態20]
形態14に記載の方法であって、
さらに、ユーザインターフェースを介して前記少なくとも1つの入力を受信する工程を備える、方法。

Claims (20)

  1. 基板処理システム内のエッジリングの高さを調整するためのコントローラであって、
    エッジリング摩耗算出モジュールであって、
    前記エッジリングの1以上の腐食速度を示す少なくとも1つの入力を受信し、
    前記少なくとも1つの入力に基づいて、前記エッジリングの少なくとも1つの腐食速度を算出し、
    前記少なくとも1つの腐食速度に基づいて、前記エッジリングの腐食量を算出するよう構成された、エッジリング摩耗算出モジュールと、
    前記エッジリング摩耗算出モジュールによって算出された前記腐食量に基づいて、前記エッジリングの前記高さを調整するよう構成されたアクチュエータ制御モジュールと、
    を備える、コントローラ。
  2. 請求項1に記載のコントローラであって、
    前記少なくとも1つの入力は、ユーザによって入力された腐食速度を含む、コントローラ。
  3. 請求項1に記載のコントローラであって、
    前記少なくとも1つの入力は、前記基板処理システムのそれぞれの利用期間に対する複数の腐食速度を含む、コントローラ。
  4. 請求項1に記載のコントローラであって、
    前記少なくとも1つの入力は、前記基板処理システム内で実行される処理のタイプおよび継続時間を示す情報を含む、コントローラ。
  5. 請求項1に記載のコントローラであって、
    前記少なくとも1つの入力は、前記エッジリングの前記高さ、厚さ、および、位置の内の少なくとも1つを示す較正データを含む、コントローラ。
  6. 請求項1に記載のコントローラであって、
    前記少なくとも1つの腐食速度を算出するために、前記エッジリング摩耗算出モジュールは、前記基板処理システムのそれぞれの利用期間中の複数の腐食速度を算出するよう構成されている、コントローラ。
  7. 請求項6に記載のコントローラであって、
    前記エッジリングの前記腐食量を算出するために、前記エッジリング摩耗算出モジュールは、前記それぞれの利用期間中の算出された前記複数の腐食速度に基づいて、前記腐食量を算出するよう構成されている、コントローラ。
  8. 請求項6に記載のコントローラであって、
    前記複数の腐食速度の各々は、前記それぞれの利用期間に対して異なる、コントローラ。
  9. 請求項6に記載のコントローラであって、
    前記エッジリング摩耗算出モジュールは、腐食速度を利用期間に対してインデックス化したルックアップテーブルを用いて、前記複数の腐食速度を算出するよう構成されている、コントローラ。
  10. 請求項6に記載のコントローラであって、
    前記エッジリング摩耗算出モジュールは、モデルを用いて前記複数の腐食速度を算出するよう構成されている、コントローラ。
  11. 請求項1に記載のコントローラを備えるシステムであって、
    さらに、前記少なくとも1つの入力を受信するよう構成されたユーザインターフェースを備える、システム。
  12. 請求項11に記載のシステムであって、
    前記ユーザインターフェースは、前記少なくとも1つの入力として、複数の腐食速度を受信するよう構成されている、システム。
  13. 請求項11に記載のシステムであって、
    前記ユーザインターフェースは、前記エッジリング摩耗算出モジュールによって算出された前記腐食量を表示するよう構成されたディスプレイを備える、システム。
  14. 基板処理システム内のエッジリングの高さを調整するための方法であって、
    前記エッジリングの1以上の腐食速度を示す少なくとも1つの入力を受信する工程と、
    前記少なくとも1つの入力に基づいて、前記エッジリングの少なくとも1つの腐食速度を算出する工程と、
    前記少なくとも1つの腐食速度に基づいて、前記エッジリングの腐食量を算出する工程と、
    前記算出された腐食量に基づいて、前記エッジリングの前記高さを調整する工程と、
    を備える、方法。
  15. 請求項14に記載の方法であって、
    前記少なくとも1つの入力は、ユーザによって入力された腐食速度、前記基板処理システムのそれぞれの利用期間に対する複数の腐食速度、前記基板処理システム内で実行される処理のタイプおよび継続時間を示す情報、ならびに、前記エッジリングの前記高さ、厚さ、および、位置の内の少なくとも1つを示す較正データ、の内の少なくとも1つを含む、方法。
  16. 請求項14に記載の方法であって、
    前記少なくとも1つの腐食速度を算出する工程は、前記基板処理システムのそれぞれの利用期間中の複数の腐食速度を算出する工程を含む、方法。
  17. 請求項16に記載の方法であって、
    前記エッジリングの前記腐食量を算出する工程は、前記それぞれの利用期間中の算出された前記複数の腐食速度に基づいて、前記腐食量を算出する工程を含む、方法。
  18. 請求項16に記載の方法であって、
    前記複数の腐食速度の各々は、前記それぞれの利用期間に対して異なる、方法。
  19. 請求項16に記載の方法であって、
    さらに、腐食速度を利用期間に対してインデックス化したルックアップテーブルと、モデルとの少なくとも一方を用いて、前記複数の腐食速度を算出する工程を備える、方法。
  20. 請求項14に記載の方法であって、
    さらに、ユーザインターフェースを介して前記少なくとも1つの入力を受信する工程を備える、方法。
JP2023122092A 2017-12-05 2023-07-27 エッジリング摩耗補償のためのシステムおよび方法 Pending JP2023145608A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762594861P 2017-12-05 2017-12-05
US62/594,861 2017-12-05
JP2020530558A JP7323525B2 (ja) 2017-12-05 2018-11-30 エッジリング摩耗補償のためのシステムおよび方法
PCT/US2018/063385 WO2019112903A1 (en) 2017-12-05 2018-11-30 System and method for edge ring wear compensation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020530558A Division JP7323525B2 (ja) 2017-12-05 2018-11-30 エッジリング摩耗補償のためのシステムおよび方法

Publications (1)

Publication Number Publication Date
JP2023145608A true JP2023145608A (ja) 2023-10-11

Family

ID=66751164

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020530558A Active JP7323525B2 (ja) 2017-12-05 2018-11-30 エッジリング摩耗補償のためのシステムおよび方法
JP2023122092A Pending JP2023145608A (ja) 2017-12-05 2023-07-27 エッジリング摩耗補償のためのシステムおよび方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020530558A Active JP7323525B2 (ja) 2017-12-05 2018-11-30 エッジリング摩耗補償のためのシステムおよび方法

Country Status (6)

Country Link
US (2) US11538713B2 (ja)
JP (2) JP7323525B2 (ja)
KR (1) KR20200086375A (ja)
CN (1) CN111466019A (ja)
TW (2) TW202405987A (ja)
WO (1) WO2019112903A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210172728A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. Methods and systems of optical inspection of electronic device manufacturing machines
US11804368B2 (en) * 2020-03-02 2023-10-31 Tokyo Electron Limited Cleaning method and plasma processing apparatus
JP7466686B2 (ja) 2020-03-23 2024-04-12 ラム リサーチ コーポレーション 基板処理システムにおける中間リング腐食補償

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001230239A (ja) * 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
JP5105399B2 (ja) * 2006-08-08 2012-12-26 東京エレクトロン株式会社 データ収集方法,基板処理装置,基板処理システム
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP2011210853A (ja) * 2010-03-29 2011-10-20 Tokyo Electron Ltd 消耗量測定方法
US10283331B2 (en) * 2013-09-17 2019-05-07 Applied Materials, Inc. PVD plasma control using a magnet edge lift mechanism
JP6231370B2 (ja) * 2013-12-16 2017-11-15 東京エレクトロン株式会社 消耗量測定装置、温度測定装置、消耗量測定方法、温度測定方法及び基板処理システム
JP6383647B2 (ja) * 2014-11-19 2018-08-29 東京エレクトロン株式会社 測定システムおよび測定方法
US10041868B2 (en) * 2015-01-28 2018-08-07 Lam Research Corporation Estimation of lifetime remaining for a consumable-part in a semiconductor manufacturing chamber
US10014198B2 (en) * 2015-08-21 2018-07-03 Lam Research Corporation Wear detection of consumable part in semiconductor manufacturing equipment
US10985078B2 (en) * 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US10043636B2 (en) * 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
CN108369922B (zh) * 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10438833B2 (en) * 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US11008655B2 (en) * 2016-03-03 2021-05-18 Lam Research Corporation Components such as edge rings including chemical vapor deposition (CVD) diamond coating with high purity SP3 bonds for plasma processing systems
US10340171B2 (en) * 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
US10177018B2 (en) * 2016-08-11 2019-01-08 Applied Materials, Inc. Process kit erosion and service life prediction
US20180061696A1 (en) * 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6995008B2 (ja) * 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US10957521B2 (en) * 2018-05-29 2021-03-23 Lam Research Corporation Image based plasma sheath profile detection on plasma processing tools
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
KR20210002175A (ko) * 2019-06-26 2021-01-07 삼성전자주식회사 센서 모듈 및 이를 구비하는 식각 장치

Also Published As

Publication number Publication date
TW202405987A (zh) 2024-02-01
TW201935593A (zh) 2019-09-01
CN111466019A (zh) 2020-07-28
JP2021506117A (ja) 2021-02-18
WO2019112903A1 (en) 2019-06-13
US11538713B2 (en) 2022-12-27
US20200373193A1 (en) 2020-11-26
JP7323525B2 (ja) 2023-08-08
TWI810227B (zh) 2023-08-01
KR20200086375A (ko) 2020-07-16
US20230083737A1 (en) 2023-03-16

Similar Documents

Publication Publication Date Title
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
JP6976686B2 (ja) エッジリング特性評価を実行するためのシステムおよび方法
JP2023145608A (ja) エッジリング摩耗補償のためのシステムおよび方法
WO2018089776A1 (en) Edge ring centering method using ring dynamic alignment data
US11028482B2 (en) Use of voltage and current measurements to control dual zone ceramic pedestals
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US10386821B2 (en) Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10763142B2 (en) System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US11908715B2 (en) Dynamic temperature control of substrate support in substrate processing system
JP7191832B2 (ja) 熱制御素子を用いるesc温度推定のための仮想測定方法
KR20180087145A (ko) 웨이퍼의 다른 cd (critical dimension) 를 예측하기 위해 피드포워드 cd 데이터를 사용하는 가상 계측 시스템들 및 방법들
US10725485B2 (en) System and method for calculating substrate support temperature
US20210143037A1 (en) Determining and controlling substrate temperature during substrate processing
JP2023519306A (ja) レーザ透過型センサを用いたウエハの厚さおよびギャップのその場モニタリング
WO2022232014A1 (en) Use of signal filtering schemes in high tcr based control
JP2023527503A (ja) 基板処理システムのための高精度エッジリングのセンタリング

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230823

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230823