KR20200086375A - 에지 링 마모 보상 (wear compensation) 을 위한 시스템 및 방법 - Google Patents

에지 링 마모 보상 (wear compensation) 을 위한 시스템 및 방법 Download PDF

Info

Publication number
KR20200086375A
KR20200086375A KR1020207019238A KR20207019238A KR20200086375A KR 20200086375 A KR20200086375 A KR 20200086375A KR 1020207019238 A KR1020207019238 A KR 1020207019238A KR 20207019238 A KR20207019238 A KR 20207019238A KR 20200086375 A KR20200086375 A KR 20200086375A
Authority
KR
South Korea
Prior art keywords
edge ring
corrosion
rates
amount
input
Prior art date
Application number
KR1020207019238A
Other languages
English (en)
Other versions
KR102693246B1 (ko
Inventor
톰 에이. 캄프
카를로스 릴-버두고
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020247026254A priority Critical patent/KR20240122603A/ko
Publication of KR20200086375A publication Critical patent/KR20200086375A/ko
Application granted granted Critical
Publication of KR102693246B1 publication Critical patent/KR102693246B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Human Computer Interaction (AREA)
  • Chemical Vapour Deposition (AREA)
  • Valve-Gear Or Valve Arrangements (AREA)

Abstract

기판 프로세싱 시스템의 에지 링의 높이를 조정하기 위한 제어기가 에지 링의 하나 이상의 부식 레이트들을 나타내는 적어도 하나의 입력을 수신하고, 적어도 하나의 입력에 기반하여 에지 링의 적어도 하나의 부식 레이트를 계산하고, 그리고 적어도 하나의 부식 레이트에 기반하여 에지 링의 부식의 양을 계산하도록 구성된, 에지 링 마모 계산 모듈을 포함한다. 액추에이터 제어 모듈이 에지 링 마모 계산 모듈에 의해 계산된 부식의 양에 기반하여 에지 링의 높이를 조정하도록 구성된다.

Description

에지 링 마모 보상 (wear compensation) 을 위한 시스템 및 방법
관련된 출원들에 대한 교차 참조
본 출원은 2017년 12월 5일에 출원된 미국 특허 가출원 번호 제 62/594,861 호의 이익을 주장한다. 상기 참조된 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
본 개시는 기판 프로세싱, 그리고 보다 구체적으로 기판 프로세싱 시스템의 튜닝 가능한 에지 링의 마모를 보상하기 위한 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시하기 위한 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들을 처리하도록 사용될 수도 있다. 기판 상에서 수행될 수도 있는 예시적인 프로세스들은, CVD (Chemical Vapor Deposition), ALD (Atomic Layer Deposition), 도체 에칭, 및/또는 다른 에칭, 증착, 또는 세정 프로세스들을 포함하지만, 이에 제한되지는 않는다. 기판은 기판 프로세싱 시스템의 프로세싱 챔버 내의 페데스탈, 정전 척 (ESC : electrostatic chuck), 등과 같은 기판 지지부 상에 배열될 수도 있다. 에칭 동안, 가스 혼합물들은 프로세싱 챔버 내로 도입될 수도 있고, 플라즈마는 화학 반응들을 개시하도록 사용될 수도 있다.
기판 지지부는 기판을 지지하도록 구성된 세라믹 층을 포함할 수도 있다. 예를 들어, 웨이퍼는 프로세싱 동안 세라믹 층에 클램핑될 (clamped) 수도 있다. 기판 지지부는 기판 지지부의 외측 부분 주위에 (예를 들어, 둘레의 외부 및/또는 둘레에 인접하게) 배열된 에지 링을 포함할 수도 있다. 에지 링은 플라즈마를 기판 위의 볼륨으로 한정하고, 플라즈마, 등에 의해 유발된 부식으로부터 기판 지지부를 보호하도록 제공될 수도 있다.
기판 프로세싱 시스템의 에지 링의 높이를 조정하기 위한 제어기가 에지 링의 하나 이상의 부식 레이트들을 나타내는 적어도 하나의 입력을 수신하고, 적어도 하나의 입력에 기반하여 에지 링의 적어도 하나의 부식 레이트를 계산하고, 그리고 적어도 하나의 부식 레이트에 기반하여 에지 링의 부식의 양을 계산하도록 구성된, 에지 링 마모 계산 모듈을 포함한다. 액추에이터 제어 모듈이 에지 링 마모 계산 모듈에 의해 계산된 부식의 양에 기반하여 에지 링의 높이를 조정하도록 구성된다.
다른 특징들에서, 적어도 하나의 입력은 사용자에 의해 입력된 부식 레이트를 포함한다. 적어도 하나의 입력은 기판 프로세싱 시스템의 각각의 사용 기간들에 대한 복수의 부식 레이트들을 포함한다. 적어도 하나의 입력은 기판 프로세싱 시스템에서 수행된 프로세싱의 유형 및 지속기간을 나타내는 정보를 포함한다. 적어도 하나의 입력은 에지 링의 높이, 두께, 및 위치 중 적어도 하나를 나타내는 캘리브레이션 (calibration) 데이터를 포함한다.
다른 특징들에서, 적어도 하나의 부식 레이트를 계산하기 위해, 에지 링 마모 계산 모듈은 기판 프로세싱 시스템의 각각의 사용 기간들에서 복수의 부식 레이트를 계산하도록 구성된다. 에지 링의 부식의 양을 계산하기 위해, 에지 링 마모 계산 모듈은 각각의 사용 기간에서 계산된 복수의 부식 레이트들에 기반하여 부식의 양을 계산하도록 구성된다. 복수의 부식 레이트들 각각은 각각의 사용 기간들에 대해 상이하다. 에지 링 마모 계산 모듈은 부식 레이트들을 사용 기간들에 인덱싱하는 (index) 룩업 테이블 (lookup table) 을 사용하여 복수의 부식 레이트들을 계산하도록 구성된다. 에지 링 마모 계산 모듈은 모델을 사용하여 복수의 부식 레이트들을 계산하도록 구성된다.
다른 특징들에서, 시스템이 제어기를 포함하고, 적어도 하나의 입력을 수신하도록 구성된 사용자 인터페이스를 더 포함한다. 사용자 인터페이스는 적어도 하나의 입력으로서, 복수의 부식 레이트들을 수신하도록 구성된다. 사용자 인터페이스는 에지 링 마모 계산 모듈에 의해 계산된 부식의 양을 디스플레이하도록 구성된 디스플레이를 포함한다.
기판 프로세싱 시스템에서 에지 링의 높이를 조정하는 방법은 에지 링의 하나 이상의 부식 레이트들을 나타내는 적어도 하나의 입력을 수신하는 단계, 적어도 하나의 입력에 기반하여 에지 링의 적어도 하나의 부식 레이트를 계산하는 단계, 적어도 하나의 부식 레이트에 기반하여 에지 링의 부식의 양을 계산하는 단계, 및 계산된 부식의 양에 기반하여 에지 링의 높이를 조정하는 단계를 포함한다.
다른 특징들에서, 적어도 하나의 입력은 사용자에 의해 입력된 부식 레이트, 기판 프로세싱 시스템의 각각의 사용 기간들에 대한 복수의 부식 레이트들, 기판 프로세싱 시스템에서 수행된 프로세싱의 유형 및 지속기간을 나타내는 정보, 및 에지 링의 높이, 두께, 및 위치 중 적어도 하나를 나타내는 캘리브레이션 데이터 중 적어도 하나를 포함한다.
다른 특징들에서, 적어도 하나의 부식 레이트를 계산하는 단계는 기판 프로세싱 시스템의 각각의 사용 기간들에서 복수의 부식 레이트들을 계산하는 단계를 포함한다. 에지 링의 부식의 양을 계산하는 단계는 각각의 사용 기간들에서 계산된 복수의 부식 레이트들에 기반하여 부식의 양을 계산하는 단계를 포함한다. 복수의 부식 레이트들 각각은 각각의 사용 기간들에 대해 상이하다. 방법은 부식 레이트들을 사용 기간들에 인덱싱하는 룩업 테이블 및 모델 중 적어도 하나를 사용하여 복수의 부식 레이트들을 계산하는 단계를 더 포함한다. 방법은 사용자 인터페이스를 통해 적어도 하나의 입력을 수신하는 단계를 더 포함한다.
본 개시의 적용가능성의 추가 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 특정한 예들은 예시의 목적들만을 위해 의도되었고, 본 개시의 범위를 제한하도록 의도되지 않았다.
본 개시는 상세한 기술 및 첨부한 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 예시적인 프로세싱 챔버의 기능적 블록도이다.
도 2a는 본 개시에 따른 하강된 위치에서의 예시적인 가동 에지 링을 도시한다.
도 2b는 본 개시에 따른 상승된 위치에서의 예시적인 가동 에지 링을 도시한다.
도 3a는 본 개시에 따른 예시적인 제어기를 도시한다.
도 3b는 본 개시에 따른 에지 링의 마모의 양을 결정하는 예시적인 방법을 도시한다.
도 4a 내지 도 4c는 본 개시에 따른 예시적인 부식 레이트들 및 부식 계산을 도시한다.
도 5a 내지 도 5d는 본 개시에 따른 부식 레이트들을 입력하기 위한 예시적인 사용자 인터페이스를 도시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
기판 프로세싱 시스템의 기판 지지부가 에지 링을 포함할 수도 있다. 에지 링의 상부 표면이 기판 지지부의 상부 표면 위로 연장될 수도 있고, 기판 지지부의 상부 표면 (그리고, 일부 예들에서, 기판 지지부 상에 배열된 기판의 상부 표면) 으로 하여금 에지 링에 대해 리세스되게 (recessed) 한다. 이 리세스는 포켓 (pocket) 으로 지칭될 수도 있다. 에지 링의 상부 표면과 기판의 상부 표면 사이의 거리가 “포켓 깊이” 또는 “포켓 높이”로 지칭될 수도 있다. 일반적으로, 포켓 깊이는 기판의 상부 표면에 대해 에지 링의 높이에 따라 고정된다.
에칭 프로세싱의 일부 양태들은 기판 프로세싱 시스템, 기판, 가스 혼합물, 등의 특성들로 인해 가변할 수도 있다. 예를 들어, 플로우 패턴들, 그리고 따라서 에칭 레이트 및 에칭 균일성은, 에지 링의 포켓 깊이, 에지 링 기하 구조 (즉, 형상), 등에 따라 가변할 수도 있다. 일부 예시적인 프로세스들에서, 전체 에칭 레이트들은 기판의 상부 표면과 가스 분배 디바이스의 하단 표면 사이의 거리가 증가함에 따라 가변한다. 또한, 에칭 레이트들은 기판의 중심으로부터 기판의 외측 둘레로 가변할 수도 있다. 예를 들어, 기판의 외측 둘레에서, 시스 벤딩 (sheath banding) 및 이온 틸트 (tilt) 는 STI (Shallow Trench Isolation) 틸트를 유발할 수 있고, 반응 종 (예를 들어, 에천트들 (etchants) 및/또는 증착 전구체들) 과 연관된 화학적 로딩 (loading) 은 하드 마스크 임계 치수 롤 오프 (roll off) 를 유발할 수 있다. 에지 링의 구성 (예를 들어, 에지 링 높이 및/또는 기하구조를 포함함) 을 가변시키는 것은 기판의 표면에 걸쳐 가스 속도 프로파일을 수정할 수도 있다.
에지 링의 부분들은 기판 프로세싱 동안 플라즈마 및 다른 프로세스 재료들로의 노출의 결과로서 시간이 지나면서 마모 (즉, 부식) 될 수도 있다. 따라서, 에지 링은 에지 링에 의해 경험된 추정된 마모의 양을 보상하기 위해 상승될 수도 있다. 예를 들어, 에지 링은 제어기, 사용자 인터페이스, 등에 응답하여 에지 링을 상승 및 하강시키도록 구성된 액추에이터에 커플링될 수도 있다. 에지 링의 부식을 직접 측정하기 위한 메커니즘 (예를 들어, 센서, 카메라, 등) 을 포함하지 않는 시스템들에서, 에지 링의 부식이 추정될 수도 있다.
본 개시의 원리들에 따른 에지 링 마모 보상 시스템들 및 방법들은 에지 링의 부식을 추정하고, 이에 따라 부식을 보상하기 위해 에지 링의 높이를 조정한다. 예를 들어, 에지 링은 하나 이상의 연관된 부식 레이트들을 가질 수도 있다. 일부 예들에서, 부식 레이트는 시간이 지나면서 (예를 들어, RF 시간들로) 가변할 수도 있고, 수행되는 프로세스들에 기반하여 가변할 수도 있는, 등을 한다. 즉, 부식 레이트는 부식의 가변적인 감도로 인해 선형이 아닐 수도 있다. 따라서, 본 명세서에 기술된 시스템들 및 방법들은 다양한 동작 파라미터들에 기반하여 부식을 추정하고, 추정된 부식에 기반하여 에지 링의 높이를 조정한다. 일례에서, 부식은 기간 각각에 대한 부식의 양을 계산하기 위해 각각의 기간들 (RF 시간 또는 RFh) 에 대해 상이한 부식 레이트들 (예를 들어, mm/hr, ㎛/hr로, 등) 을 결정함으로써 추정될 수도 있다. 총 부식은 나중에 기간들 각각에 대해 계산된 부식의 양을 조합함으로써 계산될 수 있다.
이제 도 1을 참조하면, 예시적인 기판 프로세싱 시스템 (100) 이 도시된다. 단지 예를 들면, 기판 프로세싱 시스템 (100) 은 RF 플라즈마 및/또는 다른 적합한 기판 프로세싱을 사용하여 에칭을 수행하기 위해 사용될 수도 있다. 기판 프로세싱 시스템 (100) 은 기판 프로세싱 시스템 (100) 의 다른 컴포넌트들을 인클로징하고 (enclose), RF 플라즈마를 담는 프로세싱 챔버 (102) 를 포함한다. 기판 프로세싱 챔버 (102) 는 상부 전극 (104) 및 ESC와 같은 기판 지지부 (106) 를 포함한다. 동작 동안, 기판 (108) 은 기판 지지부 (106) 상에 배열된다. 특정한 기판 프로세싱 시스템 (100) 및 챔버 (102) 가 일 예로서 도시되지만, 본 개시의 원리들은 인-시츄 (in-situ) 로 플라즈마를 생성하고, 리모트 플라즈마 생성 및 전달 (예를 들어, 플라즈마 튜브, 마이크로파 튜브를 사용하여) 을 구현하는, 등의 기판 프로세싱 시스템과 같은, 다른 유형들의 기판 프로세싱 시스템들 및 챔버들에 적용될 수도 있다.
단지 예를 들면, 상부 전극 (104) 은 프로세스 가스들을 도입 및 분배하는 샤워헤드 (109) 와 같은 가스 분배 디바이스를 포함할 수도 있다. 샤워헤드 (109) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함하는 스템 (stem) 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 원통형이고, 프로세싱 챔버의 상단 표면으로부터 이격되는 위치에서 스템 부분의 반대편 단부로부터 방사상으로 외측으로 연장한다. 샤워헤드의 베이스 부분의 기판-대면 표면 또는 대면플레이트는 프로세스 가스 또는 퍼지 가스가 흐르는 복수의 홀들을 포함한다. 대안적으로, 상부 전극 (104) 은 도전 플레이트를 포함할 수도 있고, 프로세스 가스들은 또 다른 방식으로 도입될 수도 있다.
기판 지지부 (106) 는 하부 전극으로 작용하는 전도성 베이스플레이트 (110) 를 포함한다. 베이스플레이트 (110) 는 세라믹 층 (112) 을 지지한다. 일부 예들에서, 세라믹 층 (112) 은 세라믹 멀티-존 가열 플레이트와 같은, 가열 층을 포함할 수도 있다. 열 저항 층 (114) (예를 들어, 본딩 층) 이 세라믹 층 (112) 과 베이스플레이트 (110) 사이에 배열될 수도 있다. 베이스플레이트 (110) 는 베이스플레이트 (110) 를 통해 냉각제를 흘리기 위한 하나 이상의 냉각제 채널들 (116) 을 포함할 수도 있다.
RF 생성 시스템 (120) 이 RF 전압을 생성하고, 상부 전극 (104) 및 하부 전극 (예를 들어, 기판 지지부 (106) 의 베이스플레이트 (110)) 중 하나에 출력한다. 상부 전극 (104) 및 베이스플레이트 (110) 중 다른 하나는 DC 접지, AC 접지 또는 플로팅 (floating) 될 수도 있다. 단지 예를 들면, RF 생성 시스템 (120) 은 매칭 및 분배 네트워크 (124) 에 의해 상부 전극 (104) 또는 베이스 플레이트 (110) 에 피딩되는 (fed) RF 전압을 생성하는 RF 전압 생성기 (122) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도적으로 또는 리모트로 생성될 수도 있다. 예시적인 목적들을 위해 도시된 바와 같이, RF 생성 시스템 (120) 은 CCP (Capacitively Coupled Plasma) 시스템에 대응하지만, 본 개시의 원리들은, 단지 예를 들면 TCP (Transformer Coupled Plasma) 시스템들, CCP 캐소드 시스템들, 리모트 마이크로파 플라즈마 생성 및 전달 시스템들, 등과 같은, 다른 적합한 시스템들에서 또한 구현될 수도 있다.
가스 전달 시스템 (130) 이 하나 이상의 가스 소스들 (132-1, 132-2, …, 및 132-N) (집합적으로 가스 소스들 (132)) 을 포함하고, 여기에서 N은 0보다 큰 정수이다. 가스 소스들은 하나 이상의 에칭 가스들, 캐리어 가스들, 불활성 가스들 등, 그리고 이들의 혼합물들을 공급한다. 가스 소스들은 또한 퍼지 가스를 공급할 수도 있다. 가스 소스들 (132) 은 밸브들 (134-1, 134-2, …, 및 134-N) (집합적으로 밸브들 (134)) 및 질량 유량 제어기들 (136-1, 136-2, …, 및 136-N) (집합적으로 질량 유량 제어기들 (136)) 에 의해 매니폴드 (140) 에 연결된다. 매니폴드 (140) 의 출력이 프로세싱 챔버 (102) 에 피딩된다. 단지 예를 들면, 매니폴드 (140) 의 출력은 샤워헤드 (109) 에 피딩된다.
온도 제어기 (142) 가 세라믹 층 (112) 에 배열된 TCE들 (Thermal Control Elements) 과 같은, 복수의 가열 엘리먼트들에 연결될 수도 있다. 예를 들어, 가열 엘리먼트들 (144) 은 멀티 존 가열 플레이트 내의 각각의 존들에 대응하는 매크로 가열 엘리먼트들 및/또는 멀티-존 가열 플레이트의 복수의 존들에 걸쳐 배치된 마이크로 가열 엘리먼트들의 어레이 (array) 를 포함할 수도 있지만, 이에 제한되지는 않는다. 온도 제어기 (142) 는 기판 지지부 (106) 및 기판 (108) 의 온도를 제어하기 위해 복수의 가열 엘리먼트들 (144) 을 제어하도록 사용될 수도 있다.
온도 제어기 (142) 는 채널들 (116) 을 통한 냉각제 플로우를 제어하기 위해 냉각제 어셈블리 (146) 와 통신할 수도 있다. 예를 들어, 냉각제 어셈블리 (146) 는 냉각제 펌프 및 저장부를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지부 (106) 를 냉각시키기 위해 채널들 (116) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (146) 를 동작시킨다.
밸브 (150) 및 펌프 (152) 가 프로세싱 챔버 (102) 로부터 반응물들을 배출하도록 사용될 수도 있다. 시스템 제어기 (160) 가 기판 프로세싱 시스템 (100) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 로봇 (170) 이 기판 지지부 (106) 상에 기판들을 전달하고, 기판 지지부 (106) 로부터 기판들을 제거하도록 사용될 수도 있다. 예를 들어, 로봇 (170) 은 기판 지지부 (106) 와 로드 록 (load lock) (172) 사이에서 기판들을 이송할 수도 있다. 별도의 제어기들로서 도시되었지만, 온도 제어기 (142) 는 시스템 제어기 (160) 내에 구현될 수도 있다. 일부 예들에서, 보호 시일 (seal) (176) 이 세라믹 층 (112) 과 베이스플레이트 (110) 사이의 본딩 층 (114) 의 둘레 주위에 제공될 수도 있다.
기판 지지부 (106) 는 에지 링 (180) 을 포함한다. 본 개시의 원리들에 따른 에지 링 (180) 은 기판 (108) 에 대해 이동 가능하다 (예를 들어, 수직 방향에서 상향으로 그리고 하향으로 이동 가능하다). 예를 들어, 에지 링 (180) 은 제어기 (176) 에 응답하여 액추에이터를 통해 제어될 수도 있다. 일부 예들에서, 사용자가 하나 이상의 입력 메커니즘들, 디스플레이, 등을 포함할 수도 있는, 사용자 인터페이스 (184) 를 통해 제어기 (176) 에 제어 파라미터들 (예를 들어, 부식 레이트들) 을 입력할 수도 있다.
이제 도 2a 및 도 2b를 참조하면, 본 개시의 원리들에 따라 기판 지지부 (200) 상에 배열된 기판 (204) 을 갖는 기판 지지부 (200) 가 도시된다. 기판 지지부 (200) 는 내측 부분을 갖는 베이스 또는 페데스탈 (예를 들어, ESC에 대응함) (208) 및 외측 부분 (212) 을 포함할 수도 있다. 예들에서, 외측 부분 (212) 은 내측 부분 (208) 으로부터 독립적일 수도 있고, 내측 부분 (208) 과 관련하여 이동 가능할 수도 있다. 기판 (204) 은 프로세싱을 위해 내측 부분 (208) 상에 배열된다. 제어기 (216) (예를 들어, 시스템 제어기 (160) 에 대응함) 가 지지부 (200) 의 포켓 깊이를 조정하기 위해 에지 링들 (224) 을 선택적으로 상승 및 하강시키도록 하나 이상의 액추에이터들 (220) 과 통신한다. 단지 예를 들면, 에지 링 (224) 은 도 2a의 완전히 하강된 위치, 및 일례에서 도 2b의 완전히 상승된 위치로 도시된다. 도시된 바와 같이, 액추에이터들 (220) 은 수직 방향으로 핀들 (228) 을 선택적으로 연장하고 수축시키도록 구성된 핀 액추에이터들에 대응한다. 액추에이터들의 다른 적합한 유형들이 다른 예들에서 사용될 수도 있다. 단지 예를 들면, 에지 링 (224) 은 세라믹 또는 석영 에지 링에 대응한다. 도 2a에서, 제어기 (216) 는 핀들 (228) 을 통해 에지 링 (224) 을 직접 상승 및 하강시키도록 액추에이터들 (220) 과 통신한다. 일부 예들에서, 내측 부분 (208) 은 에지 링 (224) 에 대해 이동 가능하다. 에지 링 (224) 은 이하에 보다 상세하게 기술된 바와 같이 하나 이상의 연관된 부식 레이트들을 가질 수도 있다.
이제 도 3a를 참조하면, 예시적인 제어기 (300) 가 에지 링의 마모의 양 (예를 들어, mm 또는 ㎛로) 을 계산하도록 구성된 에지 링 마모 계산 모듈 (304) 을 포함한다. 예를 들어, 에지 링 마모 계산 모듈 (304) 은, 에지 링의 초기 에지 링 두께, 높이, 위치, 등을 나타내는 캘리브레이션 데이터, 프로세스 파라미터들 (예를 들어, 사용된 재료들, 프로세스의 유형, 시작 시간 및 종료 시간과 같은 프로세스의 지속기간을 나타내는 정보, 프로세싱 챔버 내의 온도들, 등), 챔버 특성들, 사용자 정의 변수들, 사용자 입력들, 센서 측정값들, 등을 포함하지만, 이에 제한되지 않는 하나 이상의 입력들 (308) 을 수신한다. 사용자 입력들은 하나 이상의 부식 레이트들을 포함할 수도 있다. 에지 링 마모 계산 모듈 (304) 은 수신된 입력들 (308) 에 기반하여 에지 링 마모를 계산한다. 예를 들어, 에지 링 마모 계산 모듈 (304) 은 각각의 프로세싱 기간들 및 각각의 프로세싱 기간들의 지속기간들에서 부식 레이트들에 따라 (예를 들어, 사용자 인터페이스 (310) 을 통해 사용자에 의해 입력되고, 메모리 (312) 에 저장되고, 다양한 프로세스 파라미터들에 기반하여 계산되고, 그리고/또는 이들의 조합들과 같이) 에지 링 마모를 계산할 수도 있다. 데이터는 부식 레이트들을 사용 기간들에 인덱싱하는 하나 이상의 룩업 테이블들, 에지 링 마모 계산 모듈 (304) 에 의해 실행될 모델, 등을 포함할 수도 있지만, 이에 제한되지는 않는다.
에지 링 마모 계산 모듈 (304) 은 계산된 마모에 기반하여 에지 링의 높이를 조정하기 위한 양 (즉, 에지 링 조정 데이터) 을 계산하고, 에지 링 조정 데이터를 액추에이터 제어 모듈 (316) 에 제공하도록 더 구성된다. 액추에이터 제어 모듈 (316) 은 각각의 액추에이터들을 제어하기 위해 에지 링 조정 데이터를 기반으로 하나 이상의 제어 신호들을 출력한다. 예를 들어, 제어 신호들은 도 2a 및 도 2b에 기술된 액추에이터들 (220) 과 같은 액추에이터들에 제공될 수도 있다.
이제 도 3b를 참조하면, 에지 링 마모의 양을 계산하기 위한 예시적인 방법 (320) 이 (324) 에서 시작된다. (328) 에서, 방법 (320) (예를 들어, 에지 링 마모 계산 모듈 (304)) 은 프로세싱 챔버의 에지 링의 높이 및/또는 두께를 초기화한다. 예를 들어, 에지 링 마모 계산 모듈 (304) 은 설치, 유지, 등 동안 에지 링의 두께의 센서 측정 및 물리적 측정에 기반하여 에지 링의 초기 높이를 결정할 수도 있다. (332) 에서, 방법 (320) 은 챔버에서 수행된 프로세싱의 지속기간을 RF 시간으로 모니터링하기 위해 타이머 또는 카운터를 초기화한다. (336) 에서, 챔버 내의 기판 프로세싱이 시작되고, 타이머가 시작된다.
(340) 에서, 방법 (320) (예를 들어, 에지 링 마모 계산 모듈 (304)) 은 연관된 부식 레이트에 따라 현재 사용 기간 동안 에지 링 마모를 계산한다. (344) 에서, 방법 (320) 은 프로세싱이 완료되었는지 여부를 결정한다. 참이라면, 방법 (320) 은 (348) 로 계속된다. 거짓이라면, 방법 (320) 은 (352) 로 계속된다. (352) 에서, 방법 (320) (예를 들어, 에지 링 마모 계산 모듈 (304)) 은 상이한 부식 레이트를 선택할지 여부를 결정한다. 예를 들어, 에지 링 마모 계산 모듈 (304) 은 상이한 사용 기간으로 천이 시 (예를 들어, 50 RF 시간, 200 RF 시간, 등과 같은 하나 이상의 RF 시간 문턱값들을 초과하는 타이머에 응답하여) 상이한 부식 레이트를 선택할 수도 있다. 참이라면, 방법 (320) 은 (356) 으로 계속된다. 거짓이라면, 방법 (320) 은 (340) 으로 계속된다. (356) 에서, 방법 (320) (예를 들어, 에지 링 마모 계산 모듈 (304)) 은 새로운 부식 레이트를 선택하고, (340) 으로 계속된다.
(348) 에서, 방법 (320) (예를 들어, 에지 링 마모 계산 모듈 (304)) 은 (340) 에서 사용 기간 각각에서 계산된 에지 링 마모에 따라 에지 링의 전체 (예를 들어, 누적) 부식의 양을 계산한다. 일부 예들에서, 에지 링의 높이가 (350) 에서 계산된 부식의 양에 기반하여 조정된다. 예를 들어, 에지 링은 부식의 양과 동일한 양, 마지막으로 에지 링이 상승된 이후 발생된 부식의 양과 동일한 양, 등으로 상승될 수도 있다. 방법 (320) 은 (360) 에서 종료된다.
이제 도 4a 내지 도 4c를 참조하면, 예시적인 부식 레이트들 및 마모 보상이 도시된다. 도 4a에서, 예시적인 부식 레이트 (400) (㎛/RFh로) 가 1000 RF 시간에 걸쳐 도시된다. 예를 들어, 부식 레이트 (400) 는 시간에 걸쳐 하나 이상의 에지 링들의 각각의 부식의 양들을 측정함으로써 (예를 들어, 테스트 챔버에서 센서들을 사용하여, 물리적 측정, 등) 계산될 수도 있다. 도시된 바와 같이, 부식 레이트 (400) 는 실질적으로 비선형이다. 예를 들어, 부식 레이트 (400) 는 제 1 사용 기간 (예를 들어, 사용의 0 내지 250 RF 시간) 에서 0.7 ㎛/RFh로부터 급격히 상승하고, 제 2 사용 기간 (예를 들어, 사용의 250 내지 800 시간) 에서 1.1 내지 1.3 ㎛/RFh로 가변할 수도 있다.
도 4b에서, 예시적인 부식 레이트 (404) (mm/RFh로) 가 6 RF 시간에 걸쳐 도시된다. 도시된 바와 같이, 부식 레이트 (404) 는 상대적으로 작은 사용 기간들 동안에도 가변할 수도 있다. 예를 들어, 부식 레이트 (404) 는 0 내지 3 또는 3.5 RF 시간의 사용 기간에서 실질적으로 선형일 수도 있고, 부식 레이트 (404) 는 동일한 사용 기간 동안 1/2 시간 기간 각각에서 가변할 수도 있다 (예를 들어, 0.05 mm/RFh로부터 0.08 mm/RFh로).
따라서, 에지 링 마모 계산 모듈 (304) 은 각각의 사용 기간들에 대해 상이한 부식 레이트들에 기반하여 에지 링의 마모의 양을 결정한다. 예를 들어, 에지 링 마모 계산 모듈 (304) 은 에지 링 마모 계산 모듈 (304) 이 사용자 입력들, 등에 대한 응답으로, 프로세스 파라미터들에 따라 하나 이상의 베이스 부식 레이트들을 조정하는 모델을 사용하여 부식 레이트를 주기적으로 (예를 들어, 1/2 시간 사용 기간, 100 시간 사용 기간, 불균일한 미리 결정된 사용 기간들, 등에 대해) 결정 (및 마모 계산을 위해 조정) 하도록 구성될 수도 있다. 각각의 부식 레이트들은 에지 링 마모 계산 모듈 (304) 에 의해 계산되고, 에지 링 마모 계산 모듈 (304) 에 의해 검색되도록 메모리 (312) 내에 저장되고, 프로세스 시작 시 또는 프로세스 동안 사용자에 의해 입력되는, 등을 할 수도 있다.
부식 레이트가 균일한 미리 결정된 사용 기간들 및/또는 불균일한 미리 결정된 사용 기간들에 대해 결정되는 예들에서, 사용 기간들은 도 4a 및 도 4b에 도시된 바와 같이 이전에 관찰된 부식 레이트들/이전에 측정된 부식 레이트들에 기반하여 결정될 수도 있다. 예를 들어, 연관된 부식 레이트를 갖는 사용 기간은 미리 결정된 변동 양보다 크게 (예를 들어, 0.1, 0.2 ㎛/RFh, 등보다 크게) 가변하지 않는 부식 레이트를 갖는 기간에 대응할 수도 있다. 또 다른 예에서, 사용 기간들은 인접한 사용 기간들 내의 평균 부식 레이트들에 기반하여 규정될 수도 있다. 예를 들어, 시간의 슬라이딩 윈도우 내의 평균 부식 레이트 (예를 들어, 50 RFh) 가 슬라이딩 윈도우의 이전 위치 (예를 들어, 5 RFh, 10 RFh, 등에 의해 오프셋됨 (offset)) 내에서 평균 부식 레이트로부터 미리 결정된 양 (예를 들어, 0.1 ㎛/RFh, 0.2 ㎛/RFh, 등) 보다 크게 상이하다면, 연관된 부식 레이트를 갖는 사용 기간이 따라서 규정될 수도 있다.
예를 들어, 도 4c에 도시된 바와 같이, 제 1 사용 기간 (408) 이 0.8 ㎛/RFh의 제 1 부식 레이트와 연관될 수도 있다 (제 1 사용 기간 (408) 동안, 0.8 * 300, 또는 240 ㎛ (micron) 로 합산됨). 반대로, 제 2 사용 기간 (412) 이 1.0 ㎛/RFh의 제 2 부식 레이트와 연관될 수도 있다 (제 1 사용 기간의 부식 양 (240 ㎛) 의 합 및 제 2 사용 기간 (412) 의 부식 양 (1.0 * 200, 또는 200 ㎛) 의 합이 440 ㎛의 누적 부식으로 합산됨), 제 3 사용 기간 (416) 이 1.1 ㎛/RFh의 제 3 부식 레이트와 연관될 수도 있고 (제 1 사용 기간 (408), 제 2 사용 기간 (412), 및 제 3 사용 기간 (416) 에 대해 770 ㎛의 누적 부식으로 합산됨), 그리고 제 4 사용 기간 (420) 이 0.9 ㎛/RFh의 제 4 부식 레이트와 연관될 수도 있다 (1000 RFh에 대해 950 ㎛의 누적 부식으로 합산됨). 연관된 부식 레이트들은 각각의 사용 기간들 동안 평균 부식 레이트들에 대응할 수도 있다.
일례에서, 인접한 사용 기간들 사이의 천이들 및 그들 각각의 부식 레이트들은 50 RF 시간의 슬라이딩 윈도우 동안 평균 부식 레이트들에서 변화를 따라 규정될 수도 있다. 예를 들어, (424) 에 도시된 바와 같이 50 RF 시간의 지속기간을 갖는 슬라이딩 윈도우의 평균 부식 레이트가 제 1 사용 기간 (408) 에 대해 0.8 ㎛/RFh의 평균 부식 레이트의 0.1 ㎛/RFh 내일 수도 있다. 반대로, (428) 에 도시된 바와 같이 슬라이딩 윈도우의 평균 부식 레이트가 1.0 ㎛/RFh의 평균 부식 레이트를 가질 수도 있다. 따라서, 제 1 부식 레이트를 갖는 제 1 사용 기간 (408) 로부터 제 2 부식 레이트를 갖는 제 2 사용 기간 (412) 으로의 천이가 300 RF 시간에서 규정될 수도 있다.
이 방식에서, 각각의 사용 기간들에서 상이한 부식 레이트들은, 에지 링의 수명 동안 에지 링 위치의 제어에 적용되는 보상 시퀀스에 대응한다. 예를 들어, 부식 레이트들 및 연관된 사용 기간들은 메모리 (312) 내에 저장된다. 일례에서, 부식 레이트들은 각각의 사용 기간들에 부식 레이트들을 인덱싱하는 테이블로서 저장된다. 부식 레이트들 및 사용 기간들 중 하나 또는 모두는 사용자에 의해 입력될 수도 있다.
에지 링 마모 계산 모듈 (304) 은 에지 링의 전체 사용량 (즉, RF 시간으로, 누적) 을 모니터링하도록 더 구성된다. 예를 들어, 에지 링 마모 계산 모듈 (304) 은 따라서 사용량을 모니터링하고 이에 따라 에지 링의 전체 사용량을 저장하는 타이머 또는 카운터를 포함할 수도 있다. 부식을 계산할 때, 에지 링 마모 계산 모듈 (304) 은 전체 사용량 및 각각의 사용 기간들의 상이한 부식 레이트들에 따라 전체 (즉, 누적) 부식을 계산한다. 예를 들어, 전체 사용량이 150 RF 시간이면, 부식은 0.8 ㎛/RFh x 150 RF 시간에 대응할 수도 있다. 반대로, 전체 사용량이 400 RF 시간이면, 부식은 0.8 ㎛/RFh x 300 RF 시간 + 1.0 ㎛/RFh x 100 RF 시간에 대응할 수도 있다.
이제 도 5a 내지 도 5d를 참조하면, 부식 레이트들을 입력하기 위한 예시적인 사용자 인터페이스 (500) (예를 들어, 도 1의 사용자 인터페이스 (184), 도 3a의 사용자 인터페이스 (310), 등에 대응함) 가 도시된다. 예를 들어, 사용자가 (504) 에서 부식 레이트 계산을 디스에이블하고 (disable), (508) 에서 단일 (예를 들어, 선형) 부식 레이트를 선택하고 (512) 에서 선택된 부식 레이트를 입력하거나, (516) 에서 복수의 부식 레이트들 (예를 들어, 비선형 멀티-레이트) 을 선택한다. 복수의 부식 레이트들이 선택되면, 사용자는 (520) 에서 연관된 사용 기간의 부식 레이트 및 (RF 시간으로) 시작 시간 각각을 입력할 수도 있다. 사용 기간 각각에 대해 계산된 부식 양이 (524) 에서 (예를 들어, 실시간으로) 디스플레이될 수도 있다. 사용자는 부가적인 열들 (즉, 시작 시간에 의한 사용 기간들, 및 연관된 부식 레이트들) 을 추가하고 그리고/또는 열들을 제거할 수도 있다. 에지 링 마모 계산 모듈 (304) 은 기간 각각에 대해 입력된 부식 레이트에 기반하여 부식을 계산한다. 예를 들어, 도시된 바와 같이, 에지 링 마모 계산 모듈 (304) 은 (520) 에서 입력된 부식 레이트에 따라 0 RF 시간에서 시작하는 사용 기간에 대한 부식을 계산한다. 전체 사용량이 (528) 에 도시될 수도 있다. 계산된 부식 양이 (532) 에 도시될 수도 있다. 일부 예들에서, 전체 사용량 및 부식 양들이 (536) 에서 리셋 (즉, 0으로) 될 수도 있다.
인터페이스 (500) 는 (540) 에서 베이스 포켓 높이를 디스플레이할 수도 있다. 예를 들어, 베이스 포켓 높이는 부식으로 인한 모든 조정 전 에지 링의 포켓 높이에 대응할 수도 있다. 반대로, 현재 높이가 (544) 에 디스플레이될 수도 있다. 현재 높이는 계산된 부식만큼 감소된 베이스 포켓 높이에 대응한다. 도 5b에 도시된 바와 같이, 현재 높이는 2.560 mm의 베이스 포켓 높이 마이너스 (-) 0.290 mm의 계산된 부식이다. 즉, 다음 조정에서 (예를 들어, 현재 프로세싱 단계 또는 레시피에 후속하여), 에지 링 마모 계산 모듈 (304) 은 계산된 부식을 보상하기 위해 에지 링을 상향으로 0.290 mm 조정할 수도 있다.
인터페이스 (500) 는 또한 (548) 에서 캘리브레이션 마모 (부식) 양을 디스플레이할 수도 있다. 예를 들어, 캘리브레이션 마모 양은 에지 링의 (예를 들어, 설치, 유지보수/세정, 주기적 캘리브레이션, 등 동안 측정된 바와 같은) 부식의 물리적으로 측정된 양에 대응할 수도 있고, 제작 오차들, 이전 사용량, 등으로 인한 에지 링 두께의 차들을 설명할 수도 있다. 즉, 사용의 0 RF 시간에서, 에지 링의 두께는 일부 미리 결정되거나 예상된 값보다 이미 작을 수도 있다. 따라서, 전체 부식 양 (552) 은 캘리브레이션 마모 양과 계산된 부식의 합에 대응할 수도 있다.
인터페이스 (500) 는 선택적으로 인에이블되거나 (enabled) 디스에이블될 수도 있는, (556) 에 도시된 바와 같은 에지 링 수명 경고를 포함할 수도 있다. 예를 들어, 인터페이스 (500) 는 전체 부식 양 (552) 이 미리 결정된 부식 문턱값 (예를 들어, 도 5c에 도시된 바와 같이, mm의 부식 양 (560), 부식되거나 남아 있는 에지 링의 백분율 (564), 총 RF 시간 (568), 등) 을 초과하면 사용자에게 경고할 수도 있다. 일부 예들에서, 부식 문턱값은 에지 링의 외경의 두께에 적어도 부분적으로 기반할 수도 있다. 예를 들어, 에지 링의 내경은 에지 링의 외경보다 큰 레이트로 마모될 수도 있다. 따라서, 에지 링이 에지 링의 내경에 대한 부식을 보상하기 위해 상향으로 조정되면, 에지 링의 외경은 에지 링의 원래 (즉, 설치된 또는 캘리브레이팅된) 높이보다 큰 높이로 점진적으로 상승될 수도 있다. 일부 예들에서, 에지 링의 상승된 외경은 기판 프로세싱 시스템의 동작을 방해할 수도 있다. 예를 들어, 에지 링의 외경은 에지 링, 로봇들, 등 위의 다른 구조체들을 방해할 수도 있다. 이 방식에서, 에지 링 마모 계산 모듈 (304) 은 에지 링의 외경의 부식, 및 에지 링이 부식을 보상하기 위해 상승된 양에 기반하여 에지 링의 외경의 높이를 계산하도록, 그리고 따라서 에지 링 수명 경고를 활성화하도록 더 구성될 수도 있다.
도 5d에 도시된 바와 같은 또 다른 예에서, 인터페이스 (500) 는 사용자로 하여금 복수의 멀티-레이트들 (572) 중 하나를 선택하게 할 수도 있다. 예를 들어, 멀티-레이트들 (572) 은 상이한 미리 결정된 멀티 레이트들 및/또는 상이한 커스터마이징된 (customized) (즉, 사용자 입력 또는 조정된) 멀티-레이트들에 대응할 수도 있다. 멀티-레이트들 (572) 각각은 상이한 비선형 부식 레이트, 상이한 부식 레이트 모델, 등에 대응할 수도 있다. 예를 들어, 사용자는 현재 레시피, 기판 유형, 및/또는 다른 프로세스 또는 시스템 파라미터들에 기반하여 멀티-레이트들 (572) 중 상이한 하나를 선택할 수도 있다. 이 방식에서, 부식 양은 에지 링의 총 사용 기간 동안 복수의 상이한 선택된 선형 부식 레이트들 및/또는 비선형 부식 멀티-레이트들에 따라 계산될 수도 있다.
따라서, 부식 보상 (예를 들어, 에지 링이 계산된 부식을 보상하기 위해 조정되는 양) 은 각각의 레시피에 따라 제어될 수도 있다. 즉, 제 1 부식 보상 양은 제 1 레시피에 대해 선택된 바와 같이 제 1 부식 멀티-레이트에 따라 계산될 수도 있고, 이에 따라 에지 링이 조정될 수도 있다. 반대로, 제 2 부식 계산 양은 제 2 레시피에 대해 선택된 바와 같이 제 2 부식 멀티-레이트에 따라 계산될 수도 있다. 따라서, 에지 링이 조정되는 양은 선택된 레시피 및/또는 레시피에 대해 선택된 멀티-레이트들 중 특정한 하나에 기반하여 가변할 수도 있다. 실행된 레시피 및/또는 에지 링의 조정에 후속하여, 부식 레이트는 시스템 또는 프로세싱 툴에 대해 디폴트 부식 레이트로 돌아가고, 새로운 부식 레이트를 입력하도록 사용자를 촉진하는, 등을 할 수도 있다.
전술한 기술은 본질적으로 단지 예시이고, 어떠한 방식으로도 본 개시, 이의 적용예, 또는 사용들을 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시가 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들의 연구에 따라 분명해질 것이기 때문에 이렇게 제한되지 않아야 한다. 방법의 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기 기술되었지만, 본 개시의 임의의 실시예에 대해 기술된 이들 피처들 중 임의의 하나 이상은, 조합이 명시적으로 기술되지 않더라도 임의의 다른 실시예들의 피처들에서 그리고/또는 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)" 을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)" 으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 그 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트들이 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 (phrase) A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, “적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C” 를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는, 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전에, 프로세싱 동안에 그리고 프로세싱 후에 그들의 동작을 제어하기 위해 전자장치들에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 “제어기”로서 지칭될 수도 있다. 제어기는, 프로세싱 조건들 및/또는 시스템의 유형에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치들로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 으로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 “클라우드” 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 유형 및 수행될 프로세스의 유형에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산된 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, 원격으로 위치한 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD (Atomic Layer Deposition) 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터 그리고 툴 위치들 및/또는 로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (20)

  1. 기판 프로세싱 시스템의 에지 링의 높이를 조정하기 위한 제어기에 있어서,
    에지 링 마모 계산 모듈로서,
    에지 링의 하나 이상의 부식 레이트들을 나타내는 적어도 하나의 입력을 수신하고,
    상기 적어도 하나의 입력에 기반하여 상기 에지 링의 적어도 하나의 부식 레이트를 계산하고, 그리고
    상기 적어도 하나의 부식 레이트에 기반하여 상기 에지 링의 부식의 양을 계산하도록 구성된, 상기 에지 링 마모 계산 모듈; 및
    상기 에지 링 마모 계산 모듈에 의해 계산된 상기 부식의 양에 기반하여 상기 에지 링의 높이를 조정하도록 구성된 액추에이터 (actuator) 제어 모듈을 포함하는, 제어기.
  2. 제 1 항에 있어서,
    상기 적어도 하나의 입력은 사용자에 의해 입력된 부식 레이트를 포함하는, 제어기.
  3. 제 1 항에 있어서,
    상기 적어도 하나의 입력은 상기 기판 프로세싱 시스템의 각각의 사용 기간들에 대한 복수의 부식 레이트들을 포함하는, 제어기.
  4. 제 1 항에 있어서,
    상기 적어도 하나의 입력은 상기 기판 프로세싱 시스템에서 수행된 프로세싱의 유형 및 지속기간을 나타내는 정보를 포함하는, 제어기.
  5. 제 1 항에 있어서,
    상기 적어도 하나의 입력은 상기 에지 링의 높이, 두께, 및 위치 중 적어도 하나를 나타내는 캘리브레이션 (calibration) 데이터를 포함하는, 제어기.
  6. 제 1 항에 있어서,
    상기 적어도 하나의 부식 레이트를 계산하기 위해, 상기 에지 링 마모 계산 모듈은 상기 기판 프로세싱 시스템의 각각의 사용 기간들에서 복수의 부식 레이트들을 계산하도록 구성되는, 제어기.
  7. 제 6 항에 있어서,
    상기 에지 링의 부식의 양을 계산하기 위해, 상기 에지 링 마모 계산 모듈은 상기 각각의 사용 기간들에서 계산된 상기 복수의 부식 레이트들에 기반하여 상기 부식의 양을 계산하도록 구성되는, 제어기.
  8. 제 6 항에 있어서,
    상기 복수의 부식 레이트들 각각은 상기 각각의 사용 기간들에 대해 상이한, 제어기.
  9. 제 6 항에 있어서,
    상기 에지 링 마모 계산 모듈은 사용 기간들에 부식 레이트들을 인덱싱하는 (index) 룩업 테이블 (lookup table) 을 사용하여 상기 복수의 부식 레이트들을 계산하도록 구성되는, 제어기.
  10. 제 6 항에 있어서,
    상기 에지 링 마모 계산 모듈은 모델을 사용하여 상기 복수의 부식 레이트들을 계산하도록 구성되는, 제어기.
  11. 제 1 항에 기재된 제어기를 포함하고, 적어도 하나의 입력을 수신하도록 구성된 사용자 인터페이스를 더 포함하는, 시스템.
  12. 제 11 항에 있어서,
    상기 사용자 인터페이스는 상기 적어도 하나의 입력으로서, 복수의 부식 레이트들을 수신하도록 구성되는, 시스템.
  13. 제 11 항에 있어서,
    상기 사용자 인터페이스는 상기 에지 링 마모 계산 모듈에 의해 계산된 상기 부식의 양을 디스플레이하도록 구성된 디스플레이를 포함하는, 시스템.
  14. 기판 프로세싱 시스템의 에지 링의 높이를 조정하기 위한 방법에 있어서,
    에지 링의 하나 이상의 부식 레이트들을 나타내는 적어도 하나의 입력을 수신하는 단계;
    상기 적어도 하나의 입력에 기반하여 상기 에지 링의 적어도 하나의 부식 레이트를 계산하는 단계;
    상기 적어도 하나의 부식 레이트에 기반하여 상기 에지 링의 부식의 양을 계산하는 단계; 및
    상기 계산된 부식의 양에 기반하여 상기 에지 링의 높이를 조정하는 단계를 포함하는, 에지 링의 높이를 조정하기 위한 방법.
  15. 제 14 항에 있어서,
    상기 적어도 하나의 입력은 사용자에 의해 입력된 부식 레이트, 상기 기판 프로세싱 시스템의 각각의 사용 기간들에 대한 복수의 부식 레이트들, 상기 기판 프로세싱 시스템에서 수행된 프로세싱의 유형 및 지속기간을 나타내는 정보, 및 상기 에지 링의 높이, 두께, 및 위치 중 적어도 하나를 나타내는 캘리브레이션 데이터 중 적어도 하나를 포함하는, 에지 링의 높이를 조정하기 위한 방법.
  16. 제 14 항에 있어서,
    상기 적어도 하나의 부식 레이트를 계산하는 단계는 상기 기판 프로세싱 시스템의 각각의 사용 기간들에서 복수의 부식 레이트들을 계산하는 단계를 포함하는, 에지 링의 높이를 조정하기 위한 방법.
  17. 제 16 항에 있어서,
    상기 에지 링의 부식의 양을 계산하는 단계는 상기 각각의 사용 기간들에서 계산된 상기 복수의 부식 레이트들에 기반하여 부식의 양을 계산하는 단계를 포함하는, 에지 링의 높이를 조정하기 위한 방법.
  18. 제 16 항에 있어서,
    상기 복수의 부식 레이트들 각각은 각각의 사용 기간들에 대해 상이한, 에지 링의 높이를 조정하기 위한 방법.
  19. 제 16 항에 있어서,
    사용 기간들에 부식 레이트들을 인덱싱하는 룩업 테이블 및 모델 중 적어도 하나를 사용하여 상기 복수의 부식 레이트들을 계산하는 단계를 더 포함하는, 에지 링의 높이를 조정하기 위한 방법.
  20. 제 14 항에 있어서,
    사용자 인터페이스를 통해 상기 적어도 하나의 입력을 수신하는 단계를 더 포함하는, 에지 링의 높이를 조정하기 위한 방법.
KR1020207019238A 2017-12-05 2018-11-30 에지 링 마모 보상 (wear compensation) 을 위한 시스템 및 방법 KR102693246B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020247026254A KR20240122603A (ko) 2017-12-05 2018-11-30 에지 링 마모 보상 (wear compensation) 을 위한 시스템 및 방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762594861P 2017-12-05 2017-12-05
US62/594,861 2017-12-05
PCT/US2018/063385 WO2019112903A1 (en) 2017-12-05 2018-11-30 System and method for edge ring wear compensation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020247026254A Division KR20240122603A (ko) 2017-12-05 2018-11-30 에지 링 마모 보상 (wear compensation) 을 위한 시스템 및 방법

Publications (2)

Publication Number Publication Date
KR20200086375A true KR20200086375A (ko) 2020-07-16
KR102693246B1 KR102693246B1 (ko) 2024-08-07

Family

ID=66751164

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020247026254A KR20240122603A (ko) 2017-12-05 2018-11-30 에지 링 마모 보상 (wear compensation) 을 위한 시스템 및 방법
KR1020207019238A KR102693246B1 (ko) 2017-12-05 2018-11-30 에지 링 마모 보상 (wear compensation) 을 위한 시스템 및 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020247026254A KR20240122603A (ko) 2017-12-05 2018-11-30 에지 링 마모 보상 (wear compensation) 을 위한 시스템 및 방법

Country Status (6)

Country Link
US (2) US11538713B2 (ko)
JP (2) JP7323525B2 (ko)
KR (2) KR20240122603A (ko)
CN (1) CN111466019A (ko)
TW (2) TWI810227B (ko)
WO (1) WO2019112903A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12068140B2 (en) 2020-12-23 2024-08-20 Samsung Electronics Co., Ltd. Method and system for monitoring substrate processing apparatus

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240122603A (ko) * 2017-12-05 2024-08-12 램 리써치 코포레이션 에지 링 마모 보상 (wear compensation) 을 위한 시스템 및 방법
US20210172728A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. Methods and systems of optical inspection of electronic device manufacturing machines
US11804368B2 (en) * 2020-03-02 2023-10-31 Tokyo Electron Limited Cleaning method and plasma processing apparatus
US20230162953A1 (en) 2020-03-23 2023-05-25 Lam Research Corporation Mid-ring erosion compensation in substrate processing systems

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6257168B1 (en) * 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US7750488B2 (en) * 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
JP5105399B2 (ja) 2006-08-08 2012-12-26 東京エレクトロン株式会社 データ収集方法,基板処理装置,基板処理システム
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US8563619B2 (en) 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP2011210853A (ja) * 2010-03-29 2011-10-20 Tokyo Electron Ltd 消耗量測定方法
US10283331B2 (en) * 2013-09-17 2019-05-07 Applied Materials, Inc. PVD plasma control using a magnet edge lift mechanism
JP6231370B2 (ja) 2013-12-16 2017-11-15 東京エレクトロン株式会社 消耗量測定装置、温度測定装置、消耗量測定方法、温度測定方法及び基板処理システム
JP6383647B2 (ja) * 2014-11-19 2018-08-29 東京エレクトロン株式会社 測定システムおよび測定方法
US11605546B2 (en) * 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10041868B2 (en) 2015-01-28 2018-08-07 Lam Research Corporation Estimation of lifetime remaining for a consumable-part in a semiconductor manufacturing chamber
US10014198B2 (en) * 2015-08-21 2018-07-03 Lam Research Corporation Wear detection of consumable part in semiconductor manufacturing equipment
US10985078B2 (en) * 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US10043636B2 (en) * 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
CN108369922B (zh) * 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10438833B2 (en) * 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US11008655B2 (en) * 2016-03-03 2021-05-18 Lam Research Corporation Components such as edge rings including chemical vapor deposition (CVD) diamond coating with high purity SP3 bonds for plasma processing systems
US10340171B2 (en) * 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
US10177018B2 (en) * 2016-08-11 2019-01-08 Applied Materials, Inc. Process kit erosion and service life prediction
US20180061696A1 (en) * 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
KR20240122603A (ko) * 2017-12-05 2024-08-12 램 리써치 코포레이션 에지 링 마모 보상 (wear compensation) 을 위한 시스템 및 방법
JP6995008B2 (ja) * 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US10957521B2 (en) * 2018-05-29 2021-03-23 Lam Research Corporation Image based plasma sheath profile detection on plasma processing tools
KR20230106754A (ko) * 2018-08-13 2023-07-13 램 리써치 코포레이션 에지 링 포지셔닝 및 센터링 피처들을 포함하는 플라즈마 시스 튜닝을 위한 교체가능한 에지 링 어셈블리 및/또는 접을 수 있는 에지 링 어셈블리
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
US12009236B2 (en) * 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
KR102689653B1 (ko) * 2019-06-26 2024-07-31 삼성전자주식회사 센서 모듈 및 이를 구비하는 식각 장치
US20230162953A1 (en) * 2020-03-23 2023-05-25 Lam Research Corporation Mid-ring erosion compensation in substrate processing systems
TW202137276A (zh) * 2020-03-25 2021-10-01 美商蘭姆研究公司 基板處理系統中的中間環侵蝕補償

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12068140B2 (en) 2020-12-23 2024-08-20 Samsung Electronics Co., Ltd. Method and system for monitoring substrate processing apparatus

Also Published As

Publication number Publication date
JP2023145608A (ja) 2023-10-11
CN111466019A (zh) 2020-07-28
JP2021506117A (ja) 2021-02-18
US11538713B2 (en) 2022-12-27
US20200373193A1 (en) 2020-11-26
TW202405987A (zh) 2024-02-01
US20230083737A1 (en) 2023-03-16
JP7323525B2 (ja) 2023-08-08
TWI810227B (zh) 2023-08-01
TW201935593A (zh) 2019-09-01
KR20240122603A (ko) 2024-08-12
KR102693246B1 (ko) 2024-08-07
WO2019112903A1 (en) 2019-06-13

Similar Documents

Publication Publication Date Title
JP6976686B2 (ja) エッジリング特性評価を実行するためのシステムおよび方法
US11424103B2 (en) Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment
CN109983569B (zh) 使用环动态对准数据的边缘环居中方法
KR102693246B1 (ko) 에지 링 마모 보상 (wear compensation) 을 위한 시스템 및 방법
US11029668B2 (en) Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US11028482B2 (en) Use of voltage and current measurements to control dual zone ceramic pedestals
US10763142B2 (en) System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10509425B2 (en) Virtual metrology method for ESC temperature estimation using thermal control elements
US12020960B2 (en) Determining and controlling substrate temperature during substrate processing
JP2023519306A (ja) レーザ透過型センサを用いたウエハの厚さおよびギャップのその場モニタリング
JP2023527503A (ja) 基板処理システムのための高精度エッジリングのセンタリング

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant