CN111466019A - 用于边缘环损耗补偿的系统和方法 - Google Patents

用于边缘环损耗补偿的系统和方法 Download PDF

Info

Publication number
CN111466019A
CN111466019A CN201880078749.4A CN201880078749A CN111466019A CN 111466019 A CN111466019 A CN 111466019A CN 201880078749 A CN201880078749 A CN 201880078749A CN 111466019 A CN111466019 A CN 111466019A
Authority
CN
China
Prior art keywords
erosion
edge ring
controller
rates
amount
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880078749.4A
Other languages
English (en)
Inventor
汤姆·A·坎普
卡洛斯·利尔-韦尔杜戈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111466019A publication Critical patent/CN111466019A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/01Input arrangements or combined input and output arrangements for interaction between user and computer
    • G06F3/048Interaction techniques based on graphical user interfaces [GUI]
    • G06F3/0481Interaction techniques based on graphical user interfaces [GUI] based on specific properties of the displayed interaction object or a metaphor-based environment, e.g. interaction with desktop elements like windows or icons, or assisted by a cursor's changing behaviour or appearance
    • G06F3/04817Interaction techniques based on graphical user interfaces [GUI] based on specific properties of the displayed interaction object or a metaphor-based environment, e.g. interaction with desktop elements like windows or icons, or assisted by a cursor's changing behaviour or appearance using icons
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/01Input arrangements or combined input and output arrangements for interaction between user and computer
    • G06F3/048Interaction techniques based on graphical user interfaces [GUI]
    • G06F3/0481Interaction techniques based on graphical user interfaces [GUI] based on specific properties of the displayed interaction object or a metaphor-based environment, e.g. interaction with desktop elements like windows or icons, or assisted by a cursor's changing behaviour or appearance
    • G06F3/0482Interaction with lists of selectable items, e.g. menus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Human Computer Interaction (AREA)
  • Drying Of Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Valve-Gear Or Valve Arrangements (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于调整衬底处理系统中的边缘环的高度的控制器包含:边缘环损耗计算模块,其被配置以接收至少一个输入,其指示所述边缘环的一或更多个侵蚀速率,基于所述至少一个输入来计算所述边缘环的至少一个侵蚀速率,并且基于所述至少一个侵蚀速率来计算所述边缘环的侵蚀量。致动器控制模块被配置以基于由所述边缘环损耗计算模块所计算出的侵蚀量,调整所述边缘环的高度。

Description

用于边缘环损耗补偿的系统和方法
相关申请的交叉引用
本申请要求于2017年12月5日提交的美国临时专利申请62/594,861的权益。上述引用的申请的全部公开内容通过引用并入本文。
技术领域
本公开涉及衬底处理,并且更具体地涉及补偿衬底处理系统中的可调式边缘环损耗的系统和方法。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。在此背景技术部分以及在提交申请时不能确定为现有技术的描述的各方面中描述的范围内的当前指定的发明人的工作既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理系统可用于处理诸如半导体晶片之类的衬底。可以在衬底上执行的示例性处理包括但不限于化学气相沉积(CVD))、原子层沉积(ALD)、导体蚀刻和/或其他蚀刻、沉积或清洁工艺。衬底可以布置在衬底处理系统的处理室中的衬底支撑件上,衬底支撑件例如基座、静电卡盘(ESC)等。在蚀刻期间,可以将气体混合物引入处理室,并且可以使用等离子体来引发化学反应。
衬底支撑件可包括布置成支撑衬底的陶瓷层。例如,晶片可以在处理期间被夹持到陶瓷层上。衬底支撑件可包括围绕衬底支撑件的外部部分(例如,周边的外部和/或邻近周边)布置的边缘环。可以提供边缘环以将等离子体约束在衬底上方的体积中,保护衬底支撑件免受等离子体引起的侵蚀等。
发明内容
一种用于调整衬底处理系统中的边缘环的高度的控制器包括:边缘环损耗计算模块,其被配置以接收至少一个输入,其指示所述边缘环的一个或多个侵蚀速率,基于所述至少一个输入来计算所述边缘环的至少一个侵蚀速率,并且基于所述至少一个侵蚀速率来计算所述边缘环的侵蚀量。致动器控制模块被配置以基于由所述边缘环损耗计算模块所计算出的侵蚀量,调整所述边缘环的高度。
在其他特征中,所述至少一个输入包括由用户所输入的侵蚀速率。所述至少一个输入包括所述衬底处理系统的各个使用时段的多个侵蚀速率。所述至少一个输入包括指示在所述衬底处理系统中执行的处理的类型和持续时间的信息。所述至少一个输入包括指示所述边缘环的高度、厚度和位置中的至少一者的校准数据。
在其他特征中,为了计算所述至少一个侵蚀速率,所述边缘环损耗计算模块被配置以计算所述衬底处理系统的各个使用时段中的多个侵蚀速率。为了计算所述边缘环的侵蚀量,所述边缘环损耗计算模块被配置以基于在所述各个使用时段中所计算出的所述多个侵蚀速率来计算所述侵蚀量。针对所述各个使用时段,所述多个侵蚀速率中的每一者是不同的。所述边缘环损耗计算模块被配置以使用查找表来计算所述多个侵蚀速率,所述查找表将侵蚀速率索引至使用时段。所述边缘环损耗计算模块被配置以使用模型来计算所述多个侵蚀速率。
在其他特征中,一种系统包括所述控制器且还包括用户界面,所述用户介面被配置以接收所述至少一个输入。所述用户界面被配置以接收多个侵蚀速率作为所述至少一个输入。所述用户界面包括显示器,所述显示器被配置以显示由所述边缘环损耗计算模块所计算出的侵蚀量。
一种用于调整衬底处理系统中的边缘环的高度的方法包括:接收至少一个输入,其指示所述边缘环的一个或多个侵蚀速率;基于所述至少一个输入来计算所述边缘环的至少一个侵蚀速率;基于所述至少一个侵蚀速率来计算所述边缘环的侵蚀量;以及基于所计算出的所述侵蚀量来调整所述边缘环的高度。
在其他特征中,所述至少一个输入包含下列项中的至少一项:由用户所输入的侵蚀速率,所述衬底处理系统的各个使用时段的多个侵蚀速率,指示在所述衬底处理系统中执行的处理的类型和持续时间的信息,以及指示所述边缘环的高度、厚度以及位置中的至少一者的校准数据。
在其他特征中,计算所述至少一个侵蚀速率包括:计算所述衬底处理系统的各个使用时段中的多个侵蚀速率。计算所述边缘环的侵蚀量包括:基于在所述各个使用时段中所计算出的所述多个侵蚀速率来计算侵蚀量。针对所述各个使用时段,所述多个侵蚀速率中的每一者是不同的。所述方法还包括使用查找表和模型中的至少一者以计算所述多个侵蚀速率,所述查找表将侵蚀速率索引至使用时段。所述方法还包括经由用户界面来接收所述至少一个输入。
根据具体实施方式、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。具体实施方式和具体示例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据具体实施方式和附图将更充分地理解本公开,其中:
图1是根据本公开的示例性处理室的功能框图;
图2A示出了根据本公开的处于降低的位置的示例性可移动边缘环;
图2B示出了根据本公开的处于升高的位置的示例性可移动边缘环;
图3A示出了根据本公开的示例性控制器;
图3B示出了根据本公开的确定边缘环的损耗量的示例性方法;
图4A、4B、及4C示出了根据本公开的示例侵蚀速率及侵蚀计算;以及
图5A、5B、5C、及5D示出了根据本公开的用于输入侵蚀速率的示例性用户界面。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
衬底处理系统中的衬底支撑件可包括边缘环。边缘环的上表面可以在衬底支撑件的上表面上方延伸,使得衬底支撑件的上表面(并且在一些示例中,布置在衬底支撑件上的衬底的上表面)相对于边缘环凹陷。该凹陷部分可以称为凹部(pocket)。边缘环的上表面和衬底的上表面之间的距离可以称为“凹部深度”或“凹部高度”。通常,凹部深度根据边缘环相对于衬底的上表面的高度而固定。
蚀刻处理的一些方面可能由于衬底处理系统、衬底、气体混合物等的特性不同而变化。例如,流动模式以及因此导致的蚀刻速率和蚀刻均匀性可根据边缘环的凹部深度、边缘环几何结构(即形状)等而变化。在一些示例性处理中,总蚀刻速率随着衬底上表面与气体分配装置的下表面之间的距离增加而变化。此外,蚀刻速率可由衬底中心至衬底外周而变化。例如,在衬底外周处,鞘的弯曲及离子倾斜可能导致浅沟槽隔离(STI)倾斜,且与反应性物质(例如蚀刻剂及/或沉积前体)相关的化学品负载可能导致硬掩模关键尺寸偏离。因此,改变边缘环的配置(例如,包括边缘环高度和/或几何结构)可以改变整个衬底表面上的气体速度分布。
由于在衬底处理期间暴露于等离子体及其他处理材料,因此边缘环的部分会随时间的推移而损耗(即,侵蚀)。因此,可将边缘环升高以补偿边缘环所经历的损耗的估计量。例如,可将边缘环耦合至致动器,该致动器被配置以响应于控制器、用户界面等而升高和降低边缘环。在不包含用以直接测量边缘环侵蚀的机构(例如传感器、相机等)的系统中,可对边缘环的侵蚀进行估计。
根据本公开的原理的边缘环损耗补偿系统及方法估计边缘环的侵蚀,并因此而调整边缘环高度以补偿该侵蚀。例如,边缘环可具有一个或多个关联侵蚀速率。在一些示例中,侵蚀速率可随时间(例如,以射频(RF)小时为单位)的推移而变化、可基于所执行的处理而变化等。换言之,侵蚀速率可能因对于侵蚀的可变敏感度而并非线性的。因此,本文所述的系统和方法基于各种操作参数而对侵蚀进行估计,并基于所估计的侵蚀而调整边缘环的高度。在一示例中,可通过以下方式而对侵蚀进行估计:针对相应的时段(RF小时、或RFh)而确定不同的侵蚀速率(例如,以mm/hr、μm/hr等为单位),以计算各时段的侵蚀量。可接着通过组合这些时段中的每一个的计算得出的侵蚀量而计算总侵蚀。
现在参考图1,示出了示例性衬底处理系统100。仅举例而言,衬底处理系统100可以用于执行使用RF等离子体的蚀刻和/或用于执行其他合适的衬底处理。衬底处理系统100包括处理室102,处理室102包围衬底处理系统100的其他部件并包含RF等离子体。衬底处理室102包括上电极104和衬底支撑件106,例如静电卡盘(ESC)。在操作期间,衬底108布置在衬底支撑件106上。虽然作为示例示出了特定衬底处理系统100和室102,但是本公开的原理可以应用于其他类型的衬底处理系统和室,例如原位产生等离子体的衬底处理系统、实现远程等离子体产生和输送(例如,使用等离子体管、微波管)的衬底处理系统等等。
仅举例而言,上电极104可包括气体分配装置,例如喷头109,其引入和分配处理气体。喷头109可包括杆部,杆部包括连接到处理室的顶部表面的一端。基部部分通常为圆柱形,并且在与处理室的顶部表面间隔开的位置处从杆部的相对端径向向外延伸。喷头的基部部分的面向衬底的表面或面板包括使处理气体或吹扫气体流过的多个孔。替代地,上电极104可包括导电板,并且可以以另一种方式引入处理气体。
衬底支撑件106包括用作下电极的导电基板110。基板110支撑陶瓷层112。在一些示例中,陶瓷层112可包括加热层,例如陶瓷多区加热板。热阻层114(例如,结合层)可以布置在陶瓷层112和基板110之间。基板110可以包括用于使冷却剂流过基板110的一个或多个冷却剂通道116。
RF产生系统120产生RF电压并将RF电压输出到上电极104和下电极(例如,衬底支撑件106的基板110)中的一个。上电极104和基板110中的另一个可以是DC接地的、AC接地的或浮动的。仅举例而言,RF产生系统120可以包括RF电压产生器122,其产生RF电压,该RF电压由匹配和分配网络124馈送到上电极104或基板110。在其他示例中,可以感应或远程生成等离子体。尽管如为了示例目的所示出的,RF产生系统120对应于电容耦合等离子体(CCP)系统,但是本公开的原理也可以在其他合适的系统中实现,例如,仅举例而言,在变压器耦合等离子体(TCP)系统、CCP阴极系统、远程微波等离子体产生和输送系统等中实现。
气体输送系统130包括一个或多个气体源132-1、132-2、…和132-N(统称为气体源132),其中N是大于零的整数。气体源提供一种或多种蚀刻气体、载气、惰性气体等等,及其混合物。气体源还可以供应吹扫气体。气体源132通过阀134-1、134-2、…和134-N(统称为阀134)和质量流量控制器136-1、136-2、…和136-N(统称为质量流量控制器136)与歧管140连接。歧管140的输出被供给到处理室102。仅举例而言,歧管140的输出被供给到喷头109。
温度控制器142可以连接到多个加热元件,例如布置在陶瓷层112中的热控制元件(TCE)144。例如,加热元件144可以包括但不限于对应于多区域加热板中的各个区域的大加热元件和/或跨多区域加热板的多个区域设置的微加热元件阵列。温度控制器142可以用于控制多个加热元件144,以控制衬底支撑件106和衬底108的温度。
温度控制器142可以与冷却剂组件146连通以控制流过通道116的冷却剂流。例如,冷却剂组件146可以包括冷却剂泵和贮存器。温度控制器142操作冷却剂组件146以选择性地使冷却剂流过通道116以冷却衬底支撑件106。
阀150和泵152可用于从处理室102排空反应物。系统控制器160可用于控制衬底处理系统100的部件。机械手170可用于将衬底输送到衬底支撑件106上,和从衬底支撑件106去除衬底。例如,机械手170可以在衬底支撑件106和加载锁172之间传送衬底。虽然温度控制器142示出为单独的控制器,但是温度控制器142可以在系统控制器160内实现。在一些示例中,可以在陶瓷层112和基板110之间的结合层114的外周边周围提供保护性密封件176。
衬底支撑件106包括边缘环180。根据本公开的原理的边缘环180可相对于衬底108移动(例如,可在竖直方向上向上和向下移动)。例如,边缘环180可通过致动器响应于控制器176来控制。在一些示例中,用户可经由用户界面184而将控制参数(例如侵蚀速率)输入至控制器176,该用户界面184可包括一或多个输入机构、显示器等。
现在参考图2A和2B,示出了根据本公开的原理的、上面布置有衬底204的衬底支撑件200。衬底支撑件200可包括具有内部部分(例如,对应于ESC)208和外部部分212的底座或基座。在示例中,外部部分212可独立于内部部分208并且可相对于内部部分208移动。衬底204布置在内部部分208上以进行处理。控制器216(例如,对应于系统控制器160)与一个或多个致动器220通信以选择性地升高和降低边缘环224,以调节支撑件200的凹部深度。仅举例而言,边缘环224在图2A中示出处于充分降低了的位置并且在2B中处于示例性的充分升高了的位置。如图所示,致动器220对应于销致动器,该销致动器构造成在竖直方向上选择性地延伸和缩回销228。在其他示例中可以使用其他合适类型的致动器。仅举例而言,边缘环224对应于陶瓷或石英边缘环。在图2A中,控制器216与致动器220通信以经由销228直接升高和降低边缘环224。在一些示例中,内部部分208可相对于边缘环224移动。边缘环224可具有一或多个关联侵蚀速率,如以下更加详细描述的。
现在参考图3A,示例性控制器300包括边缘环损耗计算模块304,其被配置以计算边缘环的损耗量(例如,以mm或μm为单位)。例如,边缘环损耗计算模块304接收一或多个输入308,其包括(但不限于):表示边缘环的初始边缘环厚度、高度、位置等的校准数据,处理参数(例如,所用的材料、处理类型、表示处理的持续时间的信息(例如开始及结束时间)、处理室内的温度等)、室特性、用户所界定的变量、用户输入、传感器测量结果等。用户输入可包括一或多个侵蚀速率。边缘环损耗计算模块304基于所接收的输入308而计算边缘环损耗。例如,边缘环损耗计算模块304可根据在各个处理时段中的侵蚀速率(例如,由用户经由用户界面310而输入、储存于存储器312中、基于各种处理参数而计算和/或其组合)及各个处理时段的持续时间(例如以RF小时为单位)来计算边缘环损耗。数据可包括(但不限于)将侵蚀速率索引至使用时段的一或多个查找表、待由边缘环损耗计算模块304执行的模型等。
边缘环损耗计算模块304被进一步配置以基于所计算的损耗而计算调整边缘环高度的量(即,边缘环调整数据),并将边缘环调整数据提供至致动器控制模块316。致动器控制模块316基于边缘环调整数据而输出一或多个控制信号,以控制相应的致动器。例如,可将控制信号提供至诸如图2A及2B中所描述的致动器220之类的致动器。
现在参考图3B,用于计算边缘环损耗量的示例方法320开始于324。在328,方法320(例如边缘环损耗计算模块304)将处理室中的边缘环的高度和/或厚度初始化。例如,边缘环损耗计算模块304可基于在安装、维护等期间对边缘环的厚度的传感器测量或物理测量而确定边缘环的初始高度。在332,方法320将计时器或计数器初始化以监测在室中所执行的处理的持续时间(以RF小时为单位)。在336,室内的衬底处理开始并启动计时器。
在340,方法320(例如边缘环损耗计算模块304)根据关联侵蚀速率来计算当前的使用时段期间的边缘环损耗。在344,方法320确定处理是否完成。如果是,则方法320继续进行至348。如果否,则方法320继续进行至352。在352,方法320(例如边缘环损耗计算模块304)确定是否选择不同的侵蚀速率。例如,在(例如响应于计时器超过一或多个RF小时阈值,例如50RF小时、200RF小时等)过渡至不同的使用时段时,边缘环损耗计算模块304可选择不同的侵蚀速率。如果是,则方法320继续进行至356。如果否,则方法320继续进行至340。在356,方法320(例如边缘环损耗计算模块304)选择新的侵蚀速率并继续进行至340。
在348,方法320(例如边缘环损耗计算模块304)根据在340的各使用时段中所计算的边缘环损耗而计算边缘环的侵蚀总(例如累计)量。在一些示例中,在350基于计算出的侵蚀量而调整边缘环的高度。例如,可将边缘环升高等于侵蚀量的量、等于自上次升高边缘环以来所发生的侵蚀量的量等。方法320结束于360。
现在参考图4A、4B、及4C,显示了示例性侵蚀速率及损耗补偿。在图4A中,显示1000RF小时期间的示例性侵蚀速率400(以μm/RFh为单位)。例如,可随时间的推移通过(例如利用试验室中的传感器、物理测量等)测量在一或多个边缘环上的相应侵蚀量而计算侵蚀速率400。如图所示,侵蚀速率400实质上是非线性的。例如,侵蚀速率400可在第一使用时段中(例如从使用0至250RF小时)从0.7gm/RFh急剧增加,并在第二使用时段中(例如从使用250至800RF小时)在1.1μm/RFh和1.3μm/RFh之间变化。
在图4B中,显示在6RF小时期间的示例性侵蚀速率404(以μm/RFh为单位)。如图所示,侵蚀速率404可在甚至相对小的使用时段期间变化。例如,虽然侵蚀速率404在0至3或3.5RF小时的使用时段中可以基本上是线性的,但侵蚀速率404可在该相同使用时段期间在每半小时时段中变化(例如0.05mm/RFh至0.08mm/RFh)。
因此,边缘环损耗计算模块304基于各个使用时段的不同侵蚀速率而确定边缘环的损耗量。例如,可将边缘环损耗计算模块304配置以使用模型来周期性地(例如,每半小时使用时段、百小时使用时段、非一致的预定使用时段等)确定(及调整(用于损耗计算))侵蚀速率,该模型根据处理参数(其响应于用户输入等)而调整一或多个基准侵蚀速率。相应的侵蚀速率可由边缘环损耗计算模块304计算、储存于待由边缘环损耗计算模块304检索的存储器312中、在处理开始时或处理期间由用户所输入等。
在针对一致及/或非一致的预定使用时段而确定侵蚀速率的示例中,可基于如图4A及4B所示的先前所观测/测量到的侵蚀速率而确定使用时段。例如,具有关联侵蚀速率的使用时段可对应于具有不变化超过预定变异量(例如不变化超过0.1μm/RFh、0.2μm/RFh等)的侵蚀速率的时段。在另一示例中,可基于相邻使用时段内的平均侵蚀速率而界定使用时段。例如,如果在时间滑窗(例如50RFh)内的平均侵蚀速率与滑窗的先前位置(例如偏移5RFh、10RFh等)内的平均侵蚀速率相差超过预定量(例如0.1μm/RFh、0.2μm/RFh等),则可因此界定具有关联侵蚀速率的使用时段。
例如,如图4C所示,第一使用时段408可与0.8μm/RFh的第一侵蚀速率相关联(在第一使用时段408期间总计0.8*300微米,或240微米)。相对地,第二使用时段412可与1.0μm/RFh的第二侵蚀速率相关联(第一使用时段中的侵蚀量(240微米)与第二使用时段412的侵蚀量(1.0*200微米,或200微米)的和总计为440微米的累计侵蚀),第三使用时段416可与1.1μm/RFh的第三侵蚀速率相关联(针对第一使用时段408、第二使用时段412、及第三使用时段416,总计为770微米的累计侵蚀),以及第四使用时段420可与0.9μm/RFh的第四侵蚀速率相关联(针对l000 RFh,总计为950微米的累计侵蚀)。关联侵蚀速率可对应于各个使用时段期间的平均侵蚀速率。
在一示例中,可根据50RF小时的滑窗期间的平均侵蚀速率的变化而界定相邻使用时段之间、及其相应的侵蚀速率之间的过渡。例如,具有如在424所示的50RF小时持续时间的滑窗中的平均侵蚀速率可在与第一使用时段408的平均侵蚀速率0.8μm/RFh相差0.1pm/RFh的范围内。相对地,如在428所示的滑窗中的平均侵蚀速率可具有1.0μm/RFh的平均侵蚀速率。因此,由具有第一侵蚀速率的第一使用时段408至具有第二侵蚀速率的第二使用时段412的过渡可被界定在300RF小时处。
以这种方式,在各个使用时段中的不同侵蚀速率对应于补偿序列,该补偿序列被应用于在边缘环寿命期间控制边缘环位置。例如,将侵蚀速率和关联使用时段储存于存储器312中。在一示例中,侵蚀速率被储存为将侵蚀速率索引至相应使用时段的表。侵蚀速率和使用时段中的一或两者可由用户输入。
边缘环损耗计算模块304被进一步配置以监视边缘环的总使用量(即,累计使用量,以RF小时为单位)。例如,边缘环损耗计算模块304可包含计时器或计数器,其监测使用量并相应地储存边缘环的总使用量。当对侵蚀进行计算时,边缘环损耗计算模块304根据各个使用时段中的总使用量和不同侵蚀速率而计算总(即,累计)侵蚀量。例如,如果总使用量为150RF小时,则侵蚀量可对应于0.8μm/RFh×150RF小时。相对地,如果总使用量为400RF小时,则侵蚀量可对应于0.8μm/RFh×300RF小时+1.0μm/RFh×100RF小时。
现在参考图5A、5B、5C、及5D,显示用于输入侵蚀速率的示例性用户界面500(例如,对应于图1的用户界面184、图3A的用户界面310等)。例如,用户可在504处停用侵蚀速率计算,在508处选择单一(例如,线性)侵蚀速率并且在512处输入所选择的侵蚀速率,或在516处选择多个侵蚀速率(例如,非线性多重速率)。如果选择多个侵蚀速率,则用户可在520处输入关联使用时段的每一侵蚀速率及开始时间(以RF小时为单位)。各使用时段的计算侵蚀量可(例如,实时地)被显示于524处。用户可加入额外的行(即,使用时段(经由加入开始时间、及关联侵蚀速率))及/或将行移除。边缘环损耗计算模块304基于各时段的输入侵蚀速率而计算侵蚀量。例如,如图所示,边缘环损耗计算模块304根据在520处所输入的侵蚀速率而针对开始于0RF小时的使用时段计算侵蚀量。总使用量可被显示于528处。计算侵蚀量可被显示于532处。在一些示例中,可在536处将总使用量和侵蚀量复位(即,复位至零)。
界面500可在540处显示基准凹部高度。例如,基准凹部高度可对应于在因侵蚀而进行任何调整之前的边缘环的凹部高度。相对地,可在544处显示当前高度。当前高度对应于减去计算侵蚀量的基准凹部高度。如图5B所示,当前高度为2.560mm的基准凹部高度减去0.290mm的计算侵蚀量。换言之,在下一次调整时(例如在当前的处理步骤或配方之后),边缘环损耗计算模块304可将边缘环往上调整0.290mm以补偿计算侵蚀量。
界面500还可在548处显示校准损耗(侵蚀)量。例如,校准损耗量可对应于边缘环的物理测量侵蚀量(例如,在安装、维护/清洁、周期性校准等期间所测得),且可解决因制造公差、先前使用等而造成的边缘环厚度差异。换言之,在0RF使用时数时,边缘环的厚度可能已经小于一些预定值或期望值。因此,总侵蚀量552可对应于校准损耗量与计算侵蚀量的总和。
界面500可包括如556处所示的边缘环寿命警报,其可被选择性地启用或停用。例如,如果总侵蚀量552超过预定侵蚀阈值,则界面500可警告用户(例如,如图5C所示,以mm为单位的侵蚀量560、经侵蚀或剩余的边缘环的百分比564、总RF小时568等)。在一些示例中,侵蚀阈值可至少部分基于边缘环的外直径的厚度。例如,边缘环的内直径可能以比边缘环的外直径更大的速率损耗。因此,如果将边缘环往上调整以补偿对边缘环内直径的侵蚀,则边缘环外直径可能被渐增地升高至大于边缘环的原始(即,所安装或校准的)高度的高度。在一些示例中,升高的边缘环外直径可能干扰衬底处理系统的操作。例如,边缘环外直径可能干扰在边缘环上方的其他结构、机械手等。以此方式,可将边缘环损耗计算模块304进一步配置,以基于边缘环已升高的量来计算边缘环外直径的侵蚀量及边缘环外直径的高度,以补偿侵蚀并相应地起动环寿命警报。
在如图5D所示的另一示例中,界面500可允许用户选择多个的多重速率572中的一者。例如,多重速率572可对应于不同的预定多重速率和/或自定义的(即,用户所输入或调整的)多重速率。多重速率572中的每一者可对应于不同的非线性侵蚀速率、不同的侵蚀速率模型等。例如,用户可基于当前配方、衬底类型和/或其他处理或系统参数,而选择多重速率572中的不同者。以此方式,可根据在边缘环的总使用时段期间多个不同所选线性侵蚀速率和/或非线性侵蚀多重速率而计算侵蚀量。
因此,可根据相应的配方而控制侵蚀补偿(例如,为补偿计算侵蚀量而对边缘环调整的量)。换言之,可根据针对第一配方而选择的第一侵蚀多重速率而计算第一侵蚀补偿量,并可相应地调整边缘环。相对地,可根据针对第二配方而选择的第二侵蚀多重速率而计算第二侵蚀计算量。因此,边缘环被调整的量可基于所选配方及/或针对配方而选择的多重速率中的特定者而变化。在所执行的配方和/或边缘环的调整之后,侵蚀速率可返回到系统或处理工具的默认侵蚀速率,提醒用户输入新的侵蚀速率等。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方案在上面被描述为具有某些特征,但是相对于本公开的任何实施方案描述的那些特征中的任何一个或多个,可以在任何其它实施方案的特征中实现和/或与任何其它实施方案的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方案不是相互排斥的,并且一个或多个实施方案彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何处理,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过界面连接的加载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供处理配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的处理的类型和工具的类型,控制器被配置为与该工具接合或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的处理和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的处理。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与下列中的一个或多个通信:其他工具电路或模块、其它工具部件、群集工具、其他工具界面、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具。

Claims (20)

1.一种用于调整衬底处理系统中的边缘环的高度的控制器,所述控制器包含:
边缘环损耗计算模块,其被配置以
接收指示所述边缘环的一或更多个侵蚀速率的至少一个输入,
基于所述至少一个输入来计算所述边缘环的至少一个侵蚀速率,并且
基于所述至少一个侵蚀速率来计算所述边缘环的侵蚀量;以及
致动器控制模块,其被配置以基于由所述边缘环损耗计算模块所计算出的侵蚀量,调整所述边缘环的高度。
2.根据权利要求1所述的控制器,其中所述至少一个输入包括由用户所输入的侵蚀速率。
3.根据权利要求1所述的控制器,其中所述至少一个输入包括所述衬底处理系统的各个使用时段的多个侵蚀速率。
4.根据权利要求1所述的控制器,其中所述至少一个输入包括指示在所述衬底处理系统中执行的处理的类型和持续时间的信息。
5.根据权利要求1所述的控制器,其中所述至少一个输入包括指示所述边缘环的高度、厚度和位置中的至少一者的校准数据。
6.根据权利要求1所述的控制器,其中,为了计算所述至少一个侵蚀速率,所述边缘环损耗计算模块被配置以计算所述衬底处理系统的各个使用时段中的多个侵蚀速率。
7.根据权利要求6所述的控制器,其中,为了计算所述边缘环的侵蚀量,所述边缘环损耗计算模块被配置以基于在所述各个使用时段中所计算出的所述多个侵蚀速率来计算所述侵蚀量。
8.根据权利要求6所述的控制器,其中针对所述各个使用时段,所述多个侵蚀速率中的每一者是不同的。
9.根据权利要求6所述的控制器,其中所述边缘环损耗计算模块被配置以使用查找表来计算所述多个侵蚀速率,所述查找表将侵蚀速率索引至使用时段。
10.根据权利要求6所述的控制器,其中所述边缘环损耗计算模块被配置以使用模型来计算所述多个侵蚀速率。
11.一种系统,其包括根据权利要求1所述的控制器,且还包括用户界面,所述用户介面被配置成接收所述至少一个输入。
12.根据权利要求11所述的系统,其中所述用户界面被配置成接收多个侵蚀速率作为所述至少一个输入。
13.根据权利要求11所述的系统,其中所述用户界面包含显示器,所述显示器被配置成显示由所述边缘环损耗计算模块所计算的侵蚀量。
14.一种用于调整衬底处理系统中的边缘环的高度的方法,所述方法包括:
接收指示所述边缘环的一或更多个侵蚀速率的至少一个输入,;
基于所述至少一个输入来计算所述边缘环的至少一个侵蚀速率;
基于所述至少一个侵蚀速率来计算所述边缘环的侵蚀量;以及
基于所计算的所述侵蚀量来调整所述边缘环的高度。
15.根据权利要求14所述的方法,其中所述至少一个输入包含下列项中的至少一项:由用户所输入的侵蚀速率,所述衬底处理系统的各个使用时段的多个侵蚀速率,指示在所述衬底处理系统中执行的处理的类型和持续时间的信息,以及指示所述边缘环的高度、厚度以及位置中的至少一者的校准数据。
16.根据权利要求14所述的方法,其中计算所述至少一个侵蚀速率包括:计算所述衬底处理系统的各个使用时段中的多个侵蚀速率。
17.根据权利要求16所述的方法,其中计算所述边缘环的侵蚀量包括基于在所述各个使用时段中所计算的所述多个侵蚀速率来计算侵蚀量。
18.根据权利要求16所述的方法,其中针对所述各个使用时段,所述多个侵蚀速率中的每一者是不同的。
19.根据权利要求16所述的方法,其还包括使用查找表和模型中的至少一者以计算所述多个侵蚀速率,所述查找表将侵蚀速率索引至使用时段。
20.根据权利要求14所述的方法,其还包括经由用户界面来接收所述至少一个输入。
CN201880078749.4A 2017-12-05 2018-11-30 用于边缘环损耗补偿的系统和方法 Pending CN111466019A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762594861P 2017-12-05 2017-12-05
US62/594,861 2017-12-05
PCT/US2018/063385 WO2019112903A1 (en) 2017-12-05 2018-11-30 System and method for edge ring wear compensation

Publications (1)

Publication Number Publication Date
CN111466019A true CN111466019A (zh) 2020-07-28

Family

ID=66751164

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880078749.4A Pending CN111466019A (zh) 2017-12-05 2018-11-30 用于边缘环损耗补偿的系统和方法

Country Status (6)

Country Link
US (2) US11538713B2 (zh)
JP (2) JP7323525B2 (zh)
KR (2) KR102693246B1 (zh)
CN (1) CN111466019A (zh)
TW (2) TW202405987A (zh)
WO (1) WO2019112903A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11538713B2 (en) * 2017-12-05 2022-12-27 Lam Research Corporation System and method for edge ring wear compensation
US20210172728A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. Methods and systems of optical inspection of electronic device manufacturing machines
US11804368B2 (en) * 2020-03-02 2023-10-31 Tokyo Electron Limited Cleaning method and plasma processing apparatus
CN115315775A (zh) 2020-03-23 2022-11-08 朗姆研究公司 衬底处理系统中的中环腐蚀补偿
KR20220090903A (ko) 2020-12-23 2022-06-30 삼성전자주식회사 기판 처리 장치 모니터링 방법 및 시스템

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001230239A (ja) * 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
JP2008042005A (ja) * 2006-08-08 2008-02-21 Tokyo Electron Ltd データ収集方法,基板処理装置,基板処理システム
CN102235852A (zh) * 2010-03-29 2011-11-09 东京毅力科创株式会社 消耗量测量方法
CN106468541A (zh) * 2015-08-21 2017-03-01 朗姆研究公司 半导体制造设备中的消耗部件的磨损检测
TW201737290A (zh) * 2016-01-26 2017-10-16 應用材料股份有限公司 晶圓邊緣環升降解決方案

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6257168B1 (en) * 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
JP3388228B2 (ja) * 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US7750488B2 (en) * 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US8563619B2 (en) * 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US10283331B2 (en) * 2013-09-17 2019-05-07 Applied Materials, Inc. PVD plasma control using a magnet edge lift mechanism
JP6231370B2 (ja) * 2013-12-16 2017-11-15 東京エレクトロン株式会社 消耗量測定装置、温度測定装置、消耗量測定方法、温度測定方法及び基板処理システム
JP6383647B2 (ja) * 2014-11-19 2018-08-29 東京エレクトロン株式会社 測定システムおよび測定方法
US11605546B2 (en) * 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10041868B2 (en) 2015-01-28 2018-08-07 Lam Research Corporation Estimation of lifetime remaining for a consumable-part in a semiconductor manufacturing chamber
US10985078B2 (en) * 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US10043636B2 (en) * 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
US10438833B2 (en) * 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US11008655B2 (en) * 2016-03-03 2021-05-18 Lam Research Corporation Components such as edge rings including chemical vapor deposition (CVD) diamond coating with high purity SP3 bonds for plasma processing systems
US10340171B2 (en) * 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
US10177018B2 (en) * 2016-08-11 2019-01-08 Applied Materials, Inc. Process kit erosion and service life prediction
US20180061696A1 (en) * 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11538713B2 (en) * 2017-12-05 2022-12-27 Lam Research Corporation System and method for edge ring wear compensation
JP6995008B2 (ja) * 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US10957521B2 (en) * 2018-05-29 2021-03-23 Lam Research Corporation Image based plasma sheath profile detection on plasma processing tools
SG11202006623YA (en) * 2018-08-13 2020-08-28 Lam Res Corp Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
US12009236B2 (en) * 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
KR102689653B1 (ko) * 2019-06-26 2024-07-31 삼성전자주식회사 센서 모듈 및 이를 구비하는 식각 장치
CN115315775A (zh) * 2020-03-23 2022-11-08 朗姆研究公司 衬底处理系统中的中环腐蚀补偿
TW202137276A (zh) * 2020-03-25 2021-10-01 美商蘭姆研究公司 基板處理系統中的中間環侵蝕補償

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001230239A (ja) * 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
JP2008042005A (ja) * 2006-08-08 2008-02-21 Tokyo Electron Ltd データ収集方法,基板処理装置,基板処理システム
CN102235852A (zh) * 2010-03-29 2011-11-09 东京毅力科创株式会社 消耗量测量方法
CN106468541A (zh) * 2015-08-21 2017-03-01 朗姆研究公司 半导体制造设备中的消耗部件的磨损检测
TW201737290A (zh) * 2016-01-26 2017-10-16 應用材料股份有限公司 晶圓邊緣環升降解決方案

Also Published As

Publication number Publication date
WO2019112903A1 (en) 2019-06-13
US20200373193A1 (en) 2020-11-26
KR20200086375A (ko) 2020-07-16
JP2021506117A (ja) 2021-02-18
US20230083737A1 (en) 2023-03-16
TW201935593A (zh) 2019-09-01
TW202405987A (zh) 2024-02-01
KR20240122603A (ko) 2024-08-12
KR102693246B1 (ko) 2024-08-07
US11538713B2 (en) 2022-12-27
TWI810227B (zh) 2023-08-01
JP7323525B2 (ja) 2023-08-08
JP2023145608A (ja) 2023-10-11

Similar Documents

Publication Publication Date Title
CN107768275B (zh) 衬底处理系统和处理在衬底处理系统中的衬底的方法
CN110767525B (zh) 用于确定边缘环特性的系统和方法
CN109983569B (zh) 使用环动态对准数据的边缘环居中方法
US20230083737A1 (en) System, method, and user interface for edge ring wear compensation
US11028482B2 (en) Use of voltage and current measurements to control dual zone ceramic pedestals
US10386821B2 (en) Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10763142B2 (en) System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
CN110199383B (zh) 一种利用热控元件进行esc温度估算的虚拟计量方法
CN112868084A (zh) 用于降低响应于衬底体电阻率变动的沉积或蚀刻速率变化的rf功率补偿
US20230369025A1 (en) High precision edge ring centering for substrate processing systems
US12020960B2 (en) Determining and controlling substrate temperature during substrate processing
CN115335976A (zh) 使用穿透光束激光传感器的原位晶片厚度和间隙监测
US20240203763A1 (en) Use of signal filtering schemes in high tcr based control

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination