CN115335976A - 使用穿透光束激光传感器的原位晶片厚度和间隙监测 - Google Patents

使用穿透光束激光传感器的原位晶片厚度和间隙监测 Download PDF

Info

Publication number
CN115335976A
CN115335976A CN202180024427.3A CN202180024427A CN115335976A CN 115335976 A CN115335976 A CN 115335976A CN 202180024427 A CN202180024427 A CN 202180024427A CN 115335976 A CN115335976 A CN 115335976A
Authority
CN
China
Prior art keywords
substrate
gap
thickness
receiver
measurement signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180024427.3A
Other languages
English (en)
Inventor
黄贡恒
华雪锋
安东尼·保罗·范塞洛
丹尼尔·托雷斯
杰克·陈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115335976A publication Critical patent/CN115335976A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Abstract

一种用于确定在处理室中所设置的衬底的厚度的系统包括:发射器,其被配置成将信号朝向所述衬底与所述处理室的部件之间的间隙发射,其中所述部件被设置在所述衬底上方;接收器,其被配置成接收所发射的所述信号的至少一部分,并基于所接收的所述信号的所述部分的特性而产生测量信号;以及系统控制器,其被配置成接收所述测量信号,并基于所述测量信号的值与所述衬底的所述厚度、介于所述衬底与所述处理室的所述部件之间的所述间隙的宽度、以及所述处理室的所述参数的调整量中的至少一者之间的关系,而选择性地调整所述处理室的所述参数。

Description

使用穿透光束激光传感器的原位晶片厚度和间隙监测
相关申请的交叉引用
本申请要求于2020年3月27日申请的美国申请No.63/001,145的利益。上述引用的申请其全部公开内容都通过引用合并于此。
技术领域
本公开涉及衬底处理,更具体来说涉及监测衬底厚度和处理室参数。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理系统可用于处理诸如半导体晶片之类的衬底。可以在衬底上执行的示例性处理包括但不限于化学气相沉积(CVD)、原子层沉积(ALD)、导体蚀刻、快速热处理(RTP)、离子植入、物理气相沉积(PVD)和/或其他蚀刻、沉积或清洁处理。衬底可以布置在衬底处理系统的处理室中的衬底支撑件上,衬底支撑件例如基座、静电卡盘(ESC)等。在处理期间,可以将包括一种或多种前体的气体混合物引入处理室,并且可以使用等离子体来引发化学反应。
发明内容
一种用于确定在处理室中所设置的衬底的厚度的系统包括:发射器,其被配置成将信号朝向所述衬底与所述处理室的部件之间的间隙发射,其中所述部件被设置在所述衬底上方;接收器,其被配置成接收所发射的所述信号的至少一部分,并基于所接收的所述信号的所述部分的特性而产生测量信号;以及系统控制器,其被配置成接收所述测量信号,并基于所述测量信号的值与所述衬底的所述厚度、介于所述衬底与所述处理室的所述部件之间的所述间隙的宽度、以及所述处理室的参数的调整量中的至少一者之间的关系,而选择性地调整所述处理室的所述参数。
在其他特征中,所发射的所述信号是激光,而所述特性是所述激光的射束强度。所述发射器和所述接收器被设置在所述处理室的相对侧壁上,而所述激光的所述射束强度对应于所述激光的穿过所述间隙而被所述接收器所接收的部分。组合式发射器/接收器包括所述发射器和所述接收器,而所述激光的所述射束强度对应于所述激光的被所述衬底和所述部件中的至少一者反射并且朝向所述发射器/接收器返回的部分。所述测量信号的所述值包括指示所述射束强度的电压值和电流值中的一者。
在其他特征中,所述系统控制器被配置成基于所述测量信号的所述值而计算所述衬底的所述厚度和所述间隙的所述宽度中的至少一者。所述系统控制器被配置成基于所述衬底的所述厚度和所述间隙的所述宽度中的所述至少一者来计算所述参数的所述调整量。所述系统控制器被配置成基于所储存的数据而确定所述衬底的所述厚度和所述间隙的所述宽度中的至少一者,其中所述所储存的数据将所述测量信号的所述值与所述衬底的所述厚度和所述间隙的所述宽度中的所述至少一者相关联。
在其他特征中,所述系统控制器被配置成基于所储存的数据来确定所述参数的所述调整量,其中所述所储存的数据将所述测量信号的所述值与所述参数的所述调整量相关联。所述参数对应于沉积速率和蚀刻速率中的至少一者,而所述系统控制器被配置成基于所述测量信号的所述值来调整所述沉积速率和所述蚀刻速率中的所述至少一者。
一种用于确定在处理室中所设置的衬底的厚度的方法包括:使用发射器将信号朝向所述衬底与所述处理室的部件之间的间隙发射,其中所述部件被设置在所述衬底上方;使用接收器接收所发射的所述信号的至少一部分,并基于所接收的所述信号的所述部分的特性而产生测量信号;以及基于所述测量信号的值与所述衬底的所述厚度、介于所述衬底与所述处理室的所述部件之间的所述间隙的宽度、以及所述处理室的参数的调整量中的至少一者之间的关系,而选择性地调整所述处理室的所述参数。
在其他特征中,所发射的所述信号是激光,而所述特性是所述激光的射束强度。所述发射器和所述接收器被设置在所述处理室的相对侧壁上,而所述激光的所述射束强度对应于所述激光的穿过所述间隙而被所述接收器所接收的部分。组合式发射器/接收器包括所述发射器和所述接收器,而所述激光的所述射束强度对应于所述激光的被所述衬底和所述部件中的至少一者反射并且朝向所述发射器/接收器返回的部分。所述测量信号的所述值包括指示所述射束强度的电压值和电流值中的一者。
在其他特征中,所述方法还包括基于所述测量信号的所述值而计算所述衬底的所述厚度和所述间隙的所述宽度中的至少一者。所述方法还包括基于所述衬底的所述厚度和所述间隙的所述宽度中的所述至少一者来计算所述参数的所述调整量。所述方法还包括基于所储存的数据而确定所述衬底的所述厚度和所述间隙的所述宽度中的至少一者,其中所述所储存的数据将所述测量信号的所述值与所述衬底的所述厚度和所述间隙的所述宽度中的所述至少一者相关联。
在其他特征中,所述方法还包括基于所储存的数据来确定所述参数的所述调整量,其中所述所储存的数据将所述测量信号的所述值与所述参数的所述调整量相关联。所述参数对应于沉积速率和蚀刻速率中的至少一者,并且还包括基于所述测量信号的所述值来调整所述沉积速率和所述蚀刻速率中的所述至少一者。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1是根据本公开的示例性衬底处理系统的功能框图,其中该衬底处理系统包括衬底支撑件;
图2是根据本公开的示例性处理室,其中该处理室包括设置成测量衬底厚度的发射器和接收器;
图3是根据本公开的图表,其显示了不同有效间隙的示例性沉积速率;
图4是根据本公开的另一示例性处理室,其中该处理室包括被设置成测量衬底厚度的发射器/接收器;以及
图5是根据本公开而描绘的用于确定衬底厚度的示例性方法500的步骤。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
随着衬底处理的复杂度因例如接合晶片、3D堆叠、3D NAND存储器等技术而增加,衬底厚度的差异(即,衬底之间的差异)也增加。一些工艺对于衬底厚度、以及衬底与气体分配设备(例如,喷头)之间的有效间隙具有高敏感度,其中该气体分配设备被配置在衬底处理室中的衬底上方。因此,衬底厚度的差异可能会造成工艺不均匀性、以及工艺行为和结果两者的改变。此外,处理室的部件(例如,气体分配设备、衬底支撑件等)可以具有相关的制造公差,其造成有效间隙的差。
根据本公开的系统和方法实施激光或其他信号和传感器,以测量衬底厚度和/或衬底与气体分配设备之间的有效间隙。举例来说,激光的一或更多个特性(例如,射束强度)可正比于间隙和/或衬底厚度。因此,可调整工艺参数以补偿衬底厚度或有效间隙的任何差异。
图1示出了衬底处理系统100,其包括处理室102,处理室102包围衬底处理系统100的部件并且包含RF等离子体。处理室102包括上电极104和衬底支撑件106,衬底支撑件106可以是静电卡盘(ESC)。在操作期间,衬底108布置在衬底支撑件106上。虽然作为示例示出了特定衬底处理系统100和处理室102,但是本公开的原理可以应用于其他类型的衬底处理系统和室,例如原位产生等离子体的衬底处理系统、实现远程等离子体产生和输送的衬底处理系统(例如,使用等离子体管、微波管)等等。
仅举例而言,上电极104可包括气体分配装置,例如喷头109,其引入和分配工艺气体。喷头109可包括杆部,杆部包括连接到处理室102的顶部表面的一端。基部部分通常为圆柱形,并且在与处理室102的顶部表面间隔开的位置处从杆部的相对端径向向外延伸。喷头109的基部部分的面向衬底的表面或面板包括让工艺气体或清扫气体流过的孔。替代地,上电极104可包括传导板,并且可以以另一种方式引入工艺气体。
衬底支撑件106包括导电基板110,其使用作为下电极。基板110支撑着顶板112,其中该顶板112可以由陶瓷形成。在一些示例中,顶板112可以包括一个或更多加热层,例如陶瓷多区域加热板。该一个或更多加热层可以包括一个或更多加热元件,例如导电轨迹,其将在下文进一步描述。
接合层114被设置在顶板112与基板110之间,并将两者接合。基板110可包括用于使冷却剂流过基板110的一个或多个冷却剂通道116。在一些示例中,衬底支撑件106可以包括边缘环118,边缘环118布置成围绕衬底108的外周边。
RF产生系统120产生RF电压并将RF电压输出到上电极104和下电极(例如,衬底支撑件106的基板110)中的一个。上电极104和基板110中的另一个可以是DC接地的、AC接地的或浮动的。仅举例而言,RF产生系统120可以包括RF电压产生器122,其产生RF电压,该RF电压由匹配和分配网络124馈送到基板110。在其他示例中,该RF电压被提供给上电极104。在其他示例中,可以感应或远程生成等离子体。尽管如为了示例目的所示出的,RF产生系统120对应于电容耦合等离子体(CCP)系统,但是本公开的原理也可以在其他合适的系统中实现,例如,仅举例而言,在变压器耦合等离子体(TCP)系统、CCP阴极系统、远程微波等离子体产生和输送系统等中实现。
气体输送系统130包括一个或多个气体源132-1、132-2、…和132-N(统称为气体源132),其中N是大于零的整数。气体源提供一种或多种气体混合物。气体源还可以供应清扫气体。也可以使用汽化的前体。气体源132通过阀134-1、134-2、…和134-N(统称为阀134)和质量流量控制器136-1、136-2、…和136-N(统称为质量流量控制器136)与歧管140连接。歧管140的输出被供给到处理室102。仅举例而言,歧管140的输出被供给到喷头109。
温度控制器142可以连接到多个加热元件,例如布置在顶板112中的热控制元件(TCE)144。例如,加热元件可以包括但不限于对应于多区域加热板中的各个区域的大加热元件和/或跨多区域加热板的多个区域设置的微加热元件阵列。温度控制器142可以用于控制加热元件,以控制衬底支撑件106和衬底108的温度。
温度控制器142可以与冷却剂组件146连通以控制流过通道116的冷却剂流。例如,冷却剂组件146可以包括冷却剂泵和贮存器。温度控制器142操作冷却剂组件146以选择性地使冷却剂流过通道116以冷却衬底支撑件106。
阀150和泵152可用于从处理室102排空反应物。系统控制器160可用于控制衬底处理系统100的部件。系统控制器160可包括存储器162、和/或与存储器162连通,其中存储器162可包括挥发性存储器、非挥发性存储器、或其组合。一个或多个机械手170可用于将衬底输送到衬底支撑件106上,和从衬底支撑件106去除衬底。例如,机械手170可以在设备前端模块(EFEM)171与加载锁172之间、加载锁与真空传送模块(VTM)173之间、VTM173与衬底支撑件106之间等传送衬底。虽然温度控制器142示出为单独的控制器,但是温度控制器142可以在系统控制器160内实现。在一些示例中,可以在顶板112和基板110之间,在结合层114的外周边周围提供保护性密封176。
根据本公开的处理室102包括发射器180,发射器180被配置成将例如激光之类的光信号发射通过处理室102、以及通过衬底108与喷头109之间的有效间隙。接收器(例如,传感器)182被配置在与发射器180相对的处理室102的侧部上,以接收该光信号。有效间隙的宽度以及相应的衬底厚度可基于接收器182所测量的光信号的特性而进行计算,其将更详细地在下文描述。
图2显示了根据本公开而包括发射器204和接收器208的示例性处理室200。举例来说,发射器204和接收器208被设置在处理室200的相对侧壁上。衬底212被设置在衬底支撑件216上,其中该衬底支撑件216位于例如喷头220(如图所示)、中心绝缘体等部件下方。举例来说,衬底支撑件216包括基板224以及上部板或层(例如,陶瓷层)228,而衬底212被设置在上部板228上。在喷头220的下表面与衬底212之间限定出有效间隙TG(例如,间隙宽度)。
在该示例中,发射器204被配置成将信号(例如,激光、或其他合适光信号)232发射通过衬底212与喷头220之间的有效间隙而朝向接收器208。举例来说,发射器204被配置成响应于由系统控制器236所产生的控制信号而发射光信号232。在一些示例中,光信号232是在处理室200存在等离子体时进行发射。
接收器208感测/接收光信号232,并向系统控制器236提供一信号以指示光信号232的特性。例如,提供至系统控制器236的信号可以指示由接收器208所测量的光信号232的射束强度。系统控制器236被配置成基于所测量的光信号232的特性,计算衬底212的厚度和/或有效间隙的宽度。虽然提供射束强度作为一示例,但可由合适传感器所测量的光信号232的其他特性包括但不限于射束能量、射束宽度等。
仅举例而言,光信号232的射束强度可以取决于被允许通过该有效间隙的光信号232的厚度。换言之,如果衬底212是较厚的、或者该有效间隙以其他方式减小(例如,由于喷头220、基板224、上部板228等的制造公差、部件随着时间推移而收缩和膨胀、部件磨损等原因),则将有较少的光信号232能够通过间隙而朝向接收器208。相反,如果衬底212是较薄的、或者该有效间隙通过其他方式增加,则将有较多的光信号232能够通过该间隙。因此,激光强度I与间隙TG成正比关系,而与衬底厚度成反比关系。
从接收器208发射至系统控制器236的信号正比于所测量的激光强度。举例来说,接收器208可以配置成产生测量信号,其中该测量信号具有指示激光强度的电压。系统控制器236被配置成基于所测量的激光强度计算该有效间隙和/或衬底厚度。在一些示例中,系统控制器236可以将数据储存在存储器(例如,存储器162)中,例如校正数据储存在查找表中,该查找表将激光强度与已知间隙和/或衬底厚度产生关联。所述数据可以包括初始校正间隙数值、以及在制造、服务等期间所测量的相应激光强度。校正数据可包括在存在和不存在衬底(例如,具有已知标称或预期厚度的衬底)的情况下针对标称(例如,理想)间隙的激光强度测量值。
系统控制器236被配置成调整工艺参数(即,补偿有效间隙与理想间隙之间的差)和/或在一些示例中,基于所计算的间隙而调整处理室200的部件的位置。例如,沉积速率可对应于间隙和衬底厚度。更具体而言,在衬底的一些部分中(例如,由衬底半径所指示),沉积速率可随着间隙减少而减小,以及随着间隙增加而增大。换言之,沉积速率可与间隙宽度成正比,而与衬底厚度成反比。仅举例而言,在第一衬底厚度大于第二衬底厚度且第一间隙小于第二间隙的情况下,第一衬底厚度和相应的第一间隙的沉积速率可以小于第二衬底厚度和相应的第二间隙的沉积速率。虽然就沉积速率进行了描述,但本公开的原理还可应用于蚀刻速率。
图3根据本公开而显示了对于不同的有效间隙,相对于衬底半径(例如,在半径为150mm的衬底的外边缘处)的归一化沉积速率。例如,沉积速率300对应于第一有效间隙(例如,0.6mm),沉积速率304对应于第二有效间隙(0.35mm),而沉积速率308对应于第三有效间隙(0.15mm)。仅举例而言,如图所示,减小有效间隙使得沉积速率在较大半径处开始增大,但增大沉积速率的总量。换言之,与第三有效间隙的沉积速率308相比,在第一有效间隙下的沉积速率300是在较小半径处开始增大,但较靠近衬底边缘的沉积速率308大于沉积速率300。在该方式中,可根据有效间隙(例如,根据可能的有效间隙和/或衬底厚度的范围所限定的可利用调整范围)而调整衬底边缘处的沉积速率。
因此,系统控制器236可调整工艺参数(例如,工艺气体的流率、等离子体RF功率等),以基于所计算的间隙/衬底厚度而选择性地提高或降低沉积速率。例如,系统控制器236可储存数据,所述数据将沉积速率和/或所需工艺参数直接地与接收器208所发射的测量信号的值(例如,电压)相关联。所述数据可以包括将沉积速率索引到所测量的信号值以及相应的衬底厚度和/或间隙的表。
在一些示例中,可使用相关的致动器来调整喷头220和/或衬底支撑件216的高度。例如,可控制致动器240以将衬底支撑件216升高和降低,而致动器244可用于将喷头220升高和降低。在这些示例中,取代调整工艺参数和/或除调整工艺参数之外,系统控制器236还可选择性地将喷头220和/或衬底支撑件216升高和降低,以调整间隙的宽度。
图4根据本公开显示了另一示例性处理室400。在该示例中,处理室400包括组合式发射器/接收器404。衬底412被设置在衬底支撑件416上,而该衬底支撑件416位于喷头420下方。在喷头420的下表面与衬底412之间限定出有效间隙TG
在该示例中,发射器/接收器404被配置成将信号(例如,激光、或其他光信号)432发射而朝向衬底412与喷头420之间的有效间隙。例如,发射器/接收器404被配置成响应于系统控制器436所产生的控制信号而发射光信号432。与图2中显示的示例不同的是,发射器/接收器404接收喷头420及衬底412所反射的光信号432的部分,并向系统控制器436提供信号以指示所反射的光信号432的特性。例如,提供至系统控制器436的信号可指示经反射且由发射器/接收器404所接收的光信号432的部分的射束强度。系统控制器436被配置成基于所测量的光信号432的特性,计算衬底412的厚度和/或有效间隙的宽度。
仅举例而言,光信号432的射束强度取决于被反射且未通过该有效间隙的光信号432的量。换言之,如果衬底412是较厚的、或者该有效间隙通过其他方式减小(例如,由于喷头420、衬底支撑件416等的制造公差、部件随着时间推移而收缩和膨胀、部件磨损等原因),则将有较少的光信号432能够通过间隙,而较多的光信号432将会被反射而朝向发射器/接收器404。相反,如果衬底412是较薄的、或者该有效间隙通过其他方式增大,则将有较多的光信号432能够通过间隙,而较少的光信号432将会被反射而朝向发射器/接收器404。
因此,在该示例中,激光强度I与间隙TG成反比关系,而与衬底厚度TS成正比关系。系统控制器436被配置成与图2中所描述的类似方式,而基于所测量的激光强度计算有效间隙和/或衬底厚度。
虽然描述了衬底厚度和有效间隙,然而也可以将发射器204和接收器208和/或发射器/接收器404用于确定和调整处理室的其他特性。例如,光信号232/432的特性还可指示其他机械部件的尺寸,所述机械部件包括但不限于设置在衬底支撑件上方的喷头、上电极、或其他部件、衬底支撑件的上部板、边缘环等。部件厚度可因为部件的收缩及膨胀、磨损、加工公差等因素而改变。光信号232/432还可指示部件的设置(例如,边缘环或其他部件的设置、可动式边缘环的高度等)。在还有的其他示例中,还可将光信号232/432用于确定衬底的设置。例如,可以改变所量测的光信号232/432的特性,具体取决于该衬底是否位于期望的居中位置中。
现在参考图5,用于确定衬底厚度(和/或有效间隙)并因此在504处开始调整工艺参数的示例性方法500。在508处,方法500(例如,发射器204、发射器/接收器404等)将信号(例如,激光或其他光信号)朝向衬底与部件之间的间隙发射,其中该部件被设置在衬底上方。在512处,方法500(例如,接收器208、发射器/接收器404等)产生测量信号,其指示通过该间隙朝向接收器208、或是经反射朝向发射器/接收器404而接收的发射信号的特性。例如,所述特性包括射束或激光强度I,并且该测量信号对应于根据该激光强度而提高或降低的电压或其他值(例如,电流)。
在516处,方法500(例如,系统控制器236)将指示所发射信号的特性的该测量信号进行接收。例如,该测量信号可指示激光强度。在520处,方法500(例如,系统控制器236)基于该测量信号而确定衬底或处理室的特性,例如衬底厚度、室部件尺寸和/或有效间隙。例如,方法500可基于所储存的数据而确定衬底厚度,其中该所储存的数据将接收器208产生的信号的电压或其他值直接地与衬底厚度和/或有效间隙关联。
在524处,方法500(例如,系统控制器236)基于所确定的特性(例如,所确定的衬底厚度)而调整一个或更多工艺参数和/或处理室参数。例如,方法500可基于所确定的衬底厚度而调整与沉积相关的工艺参数,以提高或降低沉积速率。在一些示例中,方法500可基于所储存的数据而调整工艺参数,其中该所储存的数据将电压或其他值直接地与工艺参数的调整、与工艺参数的偏差等关联。
在528处,方法500(例如,系统控制器236)确定处理步骤(例如,沉积步骤)是否完成。如果已完成,则方法500在532处结束。如果未完成,则方法500继续至框508。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方案在上面被描述为具有某些特征,但是相对于本公开的任何实施方案描述的那些特征中的任何一个或多个,可以在任何其它实施方案的特征中实现和/或与任何其它实施方案的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方案不是相互排斥的,并且一个或多个实施方案彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方案中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括工艺气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方案中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (20)

1.一种用于确定在处理室中所设置的衬底的厚度的系统,所述系统包括:
发射器,其被配置成将信号朝向所述衬底与所述处理室的部件之间的间隙发射,其中所述部件被设置在所述衬底上方;
接收器,其被配置成接收所发射的所述信号的至少一部分,并基于所接收的所述信号的所述部分的特性而产生测量信号;以及
系统控制器,其被配置成接收所述测量信号,并基于所述测量信号的值与(i)所述衬底的所述厚度、(ii)介于所述衬底与所述处理室的所述部件之间的所述间隙的宽度、以及(iii)所述处理室的参数的调整量中的至少一者之间的关系,而选择性地调整所述处理室的所述参数。
2.根据权利要求1所述的系统,其中所发射的所述信号是激光,而所述特性是所述激光的射束强度。
3.根据权利要求2所述的系统,其中所述发射器和所述接收器被设置在所述处理室的相对侧壁上,而所述激光的所述射束强度对应于所述激光的穿过所述间隙而被所述接收器所接收的部分。
4.根据权利要求2所述的系统,其中组合式发射器/接收器包括所述发射器和所述接收器,而所述激光的所述射束强度对应于所述激光的被所述衬底和所述部件中的至少一者反射并且朝向所述发射器/接收器返回的部分。
5.根据权利要求2所述的系统,其中所述测量信号的所述值包括指示所述射束强度的电压值和电流值中的一者。
6.根据权利要求1所述的系统,其中所述系统控制器被配置成基于所述测量信号的所述值而计算所述衬底的所述厚度和所述间隙的所述宽度中的至少一者。
7.根据权利要求6所述的系统,其中所述系统控制器被配置成基于所述衬底的所述厚度和所述间隙的所述宽度中的所述至少一者来计算所述参数的所述调整量。
8.根据权利要求1所述的系统,其中所述系统控制器被配置成基于所储存的数据来确定所述衬底的所述厚度和所述间隙的所述宽度中的至少一者,其中所述所储存的数据将所述测量信号的所述值与所述衬底的所述厚度和所述间隙的所述宽度中的所述至少一者相关联。
9.根据权利要求1所述的系统,其中所述系统控制器被配置成基于所储存的数据来确定所述参数的所述调整量,其中所述所储存的数据将所述测量信号的所述值与所述参数的所述调整量相关联。
10.根据权利要求1所述的系统,其中所述参数对应于沉积速率和蚀刻速率中的至少一者,而所述系统控制器被配置成基于所述测量信号的所述值来调整所述沉积速率和所述蚀刻速率中的所述至少一者。
11.一种用于确定在处理室中所设置的衬底的厚度的方法,所述方法包括:
使用发射器将信号朝向所述衬底与所述处理室的部件之间的间隙发射,其中所述部件被设置在所述衬底上方;
使用接收器接收所发射的所述信号的至少一部分,并基于所接收的所述信号的所述部分的特性而产生测量信号;以及
基于所述测量信号的值与(i)所述衬底的所述厚度、(ii)介于所述衬底与所述处理室的所述部件之间的所述间隙的宽度、以及(iii)所述处理室的参数的调整量中的至少一者之间的关系,而选择性地调整所述处理室的所述参数。
12.根据权利要求11所述的方法,其中所发射的所述信号是激光,而所述特性是所述激光的射束强度。
13.根据权利要求12所述的方法,其中所述发射器和所述接收器被设置在所述处理室的相对侧壁上,而所述激光的所述射束强度对应于所述激光的穿过所述间隙而被所述接收器所接收的部分。
14.根据权利要求12所述的方法,其中组合式发射器/接收器包括所述发射器和所述接收器,而所述激光的所述射束强度对应于所述激光的被所述衬底和所述部件中的至少一者反射并且朝向所述发射器/接收器返回的部分。
15.根据权利要求12所述的方法,其中所述测量信号的所述值包括指示所述射束强度的电压值和电流值中的一者。
16.根据权利要求11所述的方法,其还包括基于所述测量信号的所述值来计算所述衬底的所述厚度和所述间隙的所述宽度中的至少一者。
17.根据权利要求16所述的方法,其还包括基于所述衬底的所述厚度和所述间隙的所述宽度中的所述至少一者来计算所述参数的所述调整量。
18.根据权利要求11所述的方法,其还包括基于所储存的数据而确定所述衬底的所述厚度和所述间隙的所述宽度中的至少一者,其中所述所储存的数据将所述测量信号的所述值与所述衬底的所述厚度和所述间隙的所述宽度中的所述至少一者相关联。
19.根据权利要求11所述的方法,其还包括基于所储存的数据来确定所述参数的所述调整量,其中所述所储存的数据将所述测量信号的所述值与所述参数的所述调整量相关联。
20.根据权利要求11所述的方法,其中所述参数对应于沉积速率和蚀刻速率中的至少一者,并且还包括基于所述测量信号的所述值来调整所述沉积速率和所述蚀刻速率中的所述至少一者。
CN202180024427.3A 2020-03-27 2021-03-24 使用穿透光束激光传感器的原位晶片厚度和间隙监测 Pending CN115335976A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063001145P 2020-03-27 2020-03-27
US63/001,145 2020-03-27
PCT/US2021/023852 WO2021195190A1 (en) 2020-03-27 2021-03-24 In-situ wafer thickness and gap monitoring using through beam laser sensor

Publications (1)

Publication Number Publication Date
CN115335976A true CN115335976A (zh) 2022-11-11

Family

ID=77890719

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180024427.3A Pending CN115335976A (zh) 2020-03-27 2021-03-24 使用穿透光束激光传感器的原位晶片厚度和间隙监测

Country Status (5)

Country Link
JP (1) JP2023519306A (zh)
KR (1) KR20220159431A (zh)
CN (1) CN115335976A (zh)
TW (1) TW202214998A (zh)
WO (1) WO2021195190A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023211729A1 (en) * 2022-04-29 2023-11-02 Lam Research Corporation Automatic gap compensation using light source and sensor for substrate processing systems

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060062897A1 (en) * 2004-09-17 2006-03-23 Applied Materials, Inc Patterned wafer thickness detection system
FI119259B (fi) * 2006-10-18 2008-09-15 Valtion Teknillinen Pinnan ja paksuuden määrittäminen
US9360302B2 (en) * 2011-12-15 2016-06-07 Kla-Tencor Corporation Film thickness monitor
TW201629264A (zh) * 2015-01-22 2016-08-16 應用材料股份有限公司 用於間隙偵測的智能止動器及控制機制
US10680150B2 (en) * 2017-08-15 2020-06-09 Dragan Grubisik Electrically conductive-semitransparent solid state infrared emitter apparatus and method of use thereof

Also Published As

Publication number Publication date
JP2023519306A (ja) 2023-05-10
TW202214998A (zh) 2022-04-16
KR20220159431A (ko) 2022-12-02
WO2021195190A1 (en) 2021-09-30

Similar Documents

Publication Publication Date Title
KR102488729B1 (ko) 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
KR102454532B1 (ko) 전기적 아크 및 발광을 방지하고 프로세스 균일도를 개선하기 위한 피처들을 갖는 정전 척
US20190244793A1 (en) Tapered upper electrode for uniformity control in plasma processing
CN110337714B (zh) 一种衬底支撑件和衬底处理系统
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US10763142B2 (en) System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US11236422B2 (en) Multi zone substrate support for ALD film property correction and tunability
WO2018136608A1 (en) A virtual metrology method for esc temperature estimation using thermal control elements
WO2019112903A1 (en) System and method for edge ring wear compensation
TWI816856B (zh) 基板處理系統與用於操作基板處理系統的方法
CN115335976A (zh) 使用穿透光束激光传感器的原位晶片厚度和间隙监测
US10725485B2 (en) System and method for calculating substrate support temperature
CN114514594A (zh) 包含预热喷头的低温等离子体增强化学气相沉积处理
KR20200131912A (ko) 기판 프로세싱 동안 기판 온도 결정 및 제어
US20230369091A1 (en) High temperature pedestal with extended electrostatic chuck electrode
CN112868084B (zh) 衬底处理系统和用于操作衬底处理系统的方法
WO2023211729A1 (en) Automatic gap compensation using light source and sensor for substrate processing systems
US20220243323A1 (en) Use of rotation to correct for azimuthal non-uniformities in semiconductor substrate processing
JP2023527503A (ja) 基板処理システムのための高精度エッジリングのセンタリング
CN114008738A (zh) 用于衬底处理系统的缩小直径承载环硬件
CN115668438A (zh) 用于处理具有缺口的晶片的等离子体排除区域环
CN115136279A (zh) 用于衬底处理基座的带内翅片的冷却剂通道
WO2021202136A1 (en) Cooled edge ring with integrated seals
WO2021167939A1 (en) High temperature substrate support with heat spreader
CN113795610A (zh) 在处理室中衬底的高温加热

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination