TW202214998A - 使用對照式雷射感測器的原位晶圓厚度及間隙監測 - Google Patents

使用對照式雷射感測器的原位晶圓厚度及間隙監測 Download PDF

Info

Publication number
TW202214998A
TW202214998A TW110111015A TW110111015A TW202214998A TW 202214998 A TW202214998 A TW 202214998A TW 110111015 A TW110111015 A TW 110111015A TW 110111015 A TW110111015 A TW 110111015A TW 202214998 A TW202214998 A TW 202214998A
Authority
TW
Taiwan
Prior art keywords
substrate
thickness
processing chamber
determining
gap
Prior art date
Application number
TW110111015A
Other languages
English (en)
Inventor
源興 黃
華雪鋒
捨羅 安東尼 保羅 凡
丹尼爾 托里斯
陳巨剛
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202214998A publication Critical patent/TW202214998A/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Abstract

一種用於判定在處理腔室中所設置的基板之厚度的系統,包括發射器,配置以將信號發射而朝往該基板與該處理腔室的構件之間的間隙,其中該構件係設置在該基板上方;接收器,配置以接收所發射的該信號的至少一部份,並基於所接收的該信號的該部分的特性而產生量測信號;以及系統控制器,配置以接收該量測信號,並基於該量測信號的複數數值與該基板之該厚度、介於該基板與該處理腔室之該構件之間的該間隙的寬度、以及該處理腔室之參數的調整量的其中至少一者之間的關係,而選擇性地調整該處理腔室的該參數。

Description

使用對照式雷射感測器的原位晶圓厚度及間隙監測
本揭露係關於基板處理,更具體來說係關於監測基板厚度及處理腔室參數。 [相關申請案的交互參照]
本申請案係主張2020年3月27日提交的美國臨時申請案第63/001,145號之優先權。上方所參照的申請案之整體內容係作為參考文獻而引入本文中。
此處所提供之先前技術描述係為了一般性呈現本揭露之背景的目的。本案列名發明人的工作成果、至此先前技術段落的所述範圍、以及申請時可能不適格作為先前技術的實施態樣,均不明示或暗示承認為對抗本揭露內容的先前技術。
基板處理系統可用以處理如半導體晶圓的基板。可在基板上執行的示例性處理包括但不限於化學氣相沉積(CVD)、原子層沉積(ALD)、導體蝕刻、快速熱處理(RTP)、離子植入、物理氣相沉積(PVD)、及/或其他蝕刻、沉積、或清潔處理。可將基板設置在基板處理系統之處理腔室中的基板支撐件,例如基座、靜電卡盤(ESC)等上方。處理期間,可將包括一或更多前驅物的氣體混合物引進處理腔室中,並可使用電漿以啟動化學反應。
一種用於判定在處理腔室中所設置的基板之厚度的系統,包括發射器,配置以將信號發射而朝往該基板與該處理腔室的構件之間的間隙,其中該構件係設置在該基板上方;接收器,配置以接收所發射的該信號的至少一部份,並基於所接收的該信號的該部分的特性而產生量測信號;以及系統控制器,配置以接收該量測信號,並基於該量測信號的複數數值與該基板之該厚度、介於該基板與該處理腔室之該構件之間的該間隙的寬度、以及該處理腔室之參數的調整量的其中至少一者之間的關係,而選擇性地調整該處理腔室的該參數。
在其他特徵中,所發射的該信號係雷射,而該特性係該雷射的射束強度。該發射器及該接收器係設置在該處理腔室的相對側壁上,而該雷射的該射束強度係對應於該雷射穿過該間隙而被該接收器所接收的一部分。組合式發射器/接收器係包括該發射器及該接收器,而該雷射的該射束強度係對應於該雷射被該基板及該構件之至少一者反射、而返回朝往該發射器/接收器的一部分。該量測信號的該等數值包括指示該射束強度的電壓數值及電流數值的其中一者。
在其他特徵中,該系統控制器係配置以基於該量測信號的該等數值而計算該基板之該厚度及該間隙之該寬度的至少一者。該系統控制器係配置以基於該基板之該厚度及該間隙之該寬度的該至少一者,而計算該參數的該調整量。該系統控制器係配置以基於所儲存的數據而判定該基板之該厚度及該間隙之該寬度的至少一者,其中該所儲存的數據將該量測信號的該等數值與該基板之該厚度及該間隙之該寬度的該至少一者產生關聯。
在其他特徵中,該系統控制器係配置以基於所儲存的數據而判定該參數的該調整量,其中該所儲存的數據將該量測信號的該等數值與該參數的該調整量產生關聯。該參數係對應於沉積速率及蝕刻速率的至少一者,而該系統控制器係配置以基於該量測信號的該等數值而調整該沉積速率及該蝕刻速率的該至少一者。
一種用於判定在處理腔室中所設置的基板之厚度的方法,包括使用發射器將信號發射朝往該基板與該處理腔室的構件之間的間隙,其中該構件係設置在該基板上方;使用接收器接收所發射的該信號的至少一部分,並且基於所接收的該信號的該部分的特性而產生量測信號;以及基於該量測信號的複數數值與該基板之該厚度、介於該基板與該處理腔室之該構件之間的該間隙的寬度、以及該處理腔室之參數的調整量的其中至少一者之間的關係,而選擇性地調整該處理腔室的該參數。
在其他特徵中,所發射的該信號係雷射,而該特性係該雷射的射束強度。該發射器及該接收器係設置在該處理腔室的相對側壁上,而該雷射的該射束強度係對應於該雷射穿過該間隙而被該接收器所接收的一部分。組合式發射器/接收器係包括該發射器及該接收器,而該雷射的該射束強度係對應於該雷射被該基板及該構件之至少一者反射、而返回朝往該發射器/接收器的一部分。該量測信號的該等數值包括指示該射束強度的電壓數值及電流數值的其中一者。
在其他特徵中,該方法更包括基於該量測信號的該等數值而計算該基板之該厚度及該間隙之該寬度的至少一者。該方法更包括基於該基板之該厚度及該間隙之該寬度的該至少一者,而計算該參數的該調整量。該方法更包括基於所儲存的數據而判定該基板之該厚度及該間隙之該寬度的至少一者,其中該所儲存的數據將該量測信號的該等數值與該基板之該厚度及該間隙之該寬度的該至少一者產生關聯。
在其他特徵中,該方法更包括基於所儲存的數據而判定該參數的該調整量,其中該所儲存的數據將該量測信號的該等數值與該參數的該調整量產生關聯。該參數係對應於沉積速率及蝕刻速率的至少一者,而該方法更包括基於該量測信號的該等數值而調整該沉積速率及該蝕刻速率的該至少一者。
本揭露的進一步應用領域將從實施方式、申請專利範圍及圖式而變得顯而易知。實施方式及特定示例僅係意旨於說明目的,而並非意旨於限制本揭露的範圍。
隨著基板處理的複雜度因例如接合晶圓、3D堆疊、3D NAND記憶體等技術而增加時,基板厚度的差異(即,基板之間的差異)亦增加。一些處理對於基板厚度、以及基板與氣體分配裝置(例如,噴淋頭)之間的有效間隙具有高敏感度,其中該氣體分配裝置係配置在基板處理腔室中的基板上方。因此,基板厚度的差異可能會造成處理不均勻性、以及處理行為及結果二者的改變。再者,處理腔室的構件(例如,氣體分配裝置、基板支撐件等)可能具有相關的製造公差,而造成有效間隙的差異。
根據本揭露的系統及方法實施雷射或其他信號、及感測器,以測量基板厚度、及/或基板與氣體分配裝置之間的有效間隙。舉例來說,雷射的一或更多特性(例如,射束強度)可正比於間隙及/或基板厚度。因此,可調整處理參數以補償基板厚度或有效間隙的任何差異。
圖1顯示基板處理系統100,其包括處理腔室102,該處理腔室102包圍著基板處理系統100的構件,並且包含RF電漿。處理腔室102包括上電極104、以及可為靜電卡盤(ESC)的基板支撐件106。操作期間,基板108係配置在基板支撐件106上。雖然將特定基板處理系統100及處理腔室102顯示作為示例,但本揭露的原理可應用於其他類型的基板處理系統及腔室,例如在原位產生電漿的基板處理系統、實施遠端電漿產生及輸送(例如,使用電漿管、微波管)的基板處理系統等。
僅舉例說明,上電極104可包括將處理氣體進行導引及分配的氣體分配裝置,例如噴淋頭109。噴淋頭109可包括一桿部,該桿部包括與處理腔室102 之頂表面連接的一端部。基部通常係圓柱形的,並且從桿部的相對端部徑向朝外延伸,其中該相對端部係位於與處理腔室102之頂表面分隔的位置處。噴淋頭109之基部的面向基板表面或面板包括複數孔洞,其中處理氣體或吹掃氣體係流動通過該等孔洞。或者,上電極104可包括導電板,並可藉由另一方式導引處理氣體。
基板支撐件106包括導電底板110以使用作為下電極。底板110支撐著頂板112,其中該頂板112可由陶瓷所形成。在一些示例中,頂板112可包括一或更多加熱層,例如陶瓷多區域加熱板。該一或更多加熱層可包括一或更多加熱元件,例如導電軌跡,其將進一步敘述於下。
接合層114係設置在頂板112與底板110之間,並將兩者接合。底板110可包括一或更多冷卻劑通道116,用於將冷卻劑流動通過底板110。在一些示例中,基板支撐件106可包括邊緣環118,配置以圍繞基板108的外周長。
RF產生系統120產生RF電壓,並將其輸出至上電極104及下電極(例如,基板支撐件106的底板110)的其中一者。上電極104及底板110的另一者可為DC接地、AC接地、或浮動的。僅舉例說明,RF產生系統120可包括產生RF電壓的RF電壓產生器122,該RF電壓係藉由匹配及分配網路124而被饋送至底板110。在其他示例中,係將RF電壓提供至上電極104。在其他示例中,電漿可為感應式產生、或遠端產生的。雖然為了示例目的而顯示RF產生系統120對應於電容耦合電漿(CCP)系統,但本揭露的原理亦可實施在其他合適系統中,例如(僅為示例)變壓器耦合電漿(TCP)系統、CCP陰極系統、遠端微波電漿產生及輸送系統等。
氣體運輸系統130包括一或更多氣體來源132-1、132-2、…、及132-N(統稱為氣體來源132),其中N為大於零的整數。氣體來源供應一或更多氣體混合物。氣體來源還可供應吹淨氣體。亦可使用經汽化的前驅物。氣體來源132係藉由閥部134-1、134-2、…、及134-N(統稱為閥部134)、以及質量流量控制器136-1、136-2、…、及136-N(統稱為質量流量控制器 136)而連接至歧管140。歧管140的輸出係供給至處理腔室102。僅舉例說明,歧管140的輸出係供給至噴淋頭109。
溫度控制器142可連接至加熱元件,例如配置在頂板112中的熱控制元件(TCE)144。舉例來說,加熱元件可包括但不限於與多區域加熱板中的個別區域對應的巨型加熱元件、及/或橫跨多區域加熱板之複數區域設置的微型加熱元件之陣列。溫度控制器142可用以控制加熱元件,而控制基板支撐件106及基板108的溫度。
溫度控制器142可與冷卻劑組件146連通,以控制通過通道116的冷卻劑流。舉例來說,冷卻劑組件146可包括冷卻劑幫浦及儲存槽。溫度控制器142操作冷卻劑組件146,以選擇性地將冷卻劑流動通過通道116,而使基板支撐件106冷卻。
閥部150與幫浦152可用以將反應物從處理腔室102抽除。系統控制器160可用以控制基板處理系統100的構件。系統控制器160可包括記憶體162、及/或與記憶體162連通,其中記憶體162可包括揮發性記憶體、非揮發性記憶體、或其組合。一或更多機器人170可用以將基板輸送至基板支撐件106上、或將基板從基板支撐件106移除。舉例來說,機器人170可在設備前端模組(EFEM)171與負載鎖室172之間、在負載鎖室與真空轉移模組(VTM)173之間、在VTM 173與基板支撐件106之間等傳輸基板。雖然將溫度控制器142顯示為分離的控制器,但可將溫度控制器142實施在系統控制器160內。在一些示例中,可圍繞著頂板112與底板110之間的接合層114的周長而提供保護性密封件176。
根據本揭露的處理腔室102包括發射器180,配置以將例如雷射的光信號發射通過處理腔室102、以及通過基板108與噴淋頭109之間的有效間隙。接收器(例如,感測器)182係配置在與發射器180相對的處理腔室102之一側部上,以接收該光信號。有效間隙的寬度、及相應的基板厚度可基於接收器182所量測的光信號之特性而加以計算,其將更詳細地描述於下。
圖2顯示根據本揭露而包括發射器204及接收器208的示例性處理腔室200。舉例來說,發射器204及接收器208係設置在處理腔室200的相對側壁上。基板212係設置在基板支撐件216上,其中該基板支撐件216係位於例如噴淋頭220(如圖所示)、中心絕緣體等構件下方。舉例來說,基板支撐件216包括底板224、及上部板或層(例如,陶瓷層)228,而基板212係設置在上部板228上。在噴淋頭220的下表面與基板212之間界定出有效間隙T G(例如,間隙寬度)。
在此示例中,發射器204係配置以將信號(例如,雷射、或其他合適光信號)232發射通過基板212與噴淋頭220之間的有效間隙,而朝往接收器208。舉例來說,發射器204係配置以響應系統控制器236所產生的控制信號而發射光信號232。在一些示例中,光信號232係當處理腔室200存在電漿時進行發射。
接收器208感測/接收光信號232,並向系統控制器236提供一信號以指示光信號232的特性。舉例來說,提供至系統控制器236的該信號可指示由接收器208所量測的光信號232之射束強度。系統控制器236係配置以基於所量測的光信號232之特性,計算基板212的厚度及/或有效間隙的寬度。雖然提供射束強度作為一示例,但可由合適感測器所量測的光信號232之其他特性包括但不限於射束能量、射束寬度等。
僅舉例說明,光信號232的射束強度可取決於被允許通過該有效間隙的光信號232之厚度。換言之,若基板212係較厚的、或是該有效間隙被另為減低(例如,由於噴淋頭220、底板224、上部板228等的製造公差、構件隨著時間而收縮及膨脹、構件磨損等原因),則將有較少的光信號232能夠通過間隙而朝向接收器208。相反地,若基板212係較薄的、或是該有效間隙被另為增加,則將有較多的光信號232能夠通過該間隙。因此,雷射強度I與間隙T G成正比關係,而與基板厚度成反比關係。
從接收器208發射至系統控制器236的信號係正比於所量測的雷射強度。舉例來說,接收器208可配置以產生量測信號,其中該量測信號具有指示雷射強度的電壓。系統控制器236係配置以基於所量測的雷射強度計算該有效間隙及/或基板厚度。在一些示例中,系統控制器236可將數據儲存在記憶體(例如,記憶體162)中,例如儲存在查找表中的校正數據,以將雷射強度與已知間隙及/或基板厚度產生關聯。所述數據可包括初始校正間隙數值、以及在製造、服務等期間所量測的相應雷射強度。校正數據可包括在存在及不存在基板(例如,具有已知標稱或預期厚度的基板)的情況下,對於標稱(例如,理想)間隙的雷射強度測量值。
系統控制器236係配置以調整處理參數(即,補償有效間隙與理想間隙之間的差值)、及/或在一些示例中,基於所計算的間隙而調整處理腔室200之構件的位置。舉例來說,沉積速率可對應於間隙及基板厚度。更具體而言,在基板的一些部分中(例如,由基板半徑所指示),沉積速率可隨著間隙減少而減低,以及隨著間隙增加而提高。換言之,沉積速率可與間隙寬度成正比,而與基板厚度成反比。僅舉例說明,在第一基板厚度大於第二基板厚度且第一間隙小於第二間隙的情況下,第一基板厚度及相應第一間隙的沉積速率可小於第二基板厚度及相應第二間隙的沉積速率。雖然描述沉積速率,但本揭露的原理還可應用於蝕刻速率。
圖3根據本揭露而顯示對於不同的有效間隙,相對於基板半徑(例如,在半徑為150 mm的基板的外邊緣處)的正規化沉積速率。舉例來說,沉積速率300係對應於第一有效間隙(例如,0.6 mm),沉積速率304係對應於第二有效間隙(0.35 mm),而沉積速率308係對應於第三有效間隙(0.15 mm)。僅舉例說明,如圖所示,減低有效間隙使得沉積速率在較大半徑處開始提升,但提高沉積速率的總量。換言之,與第三有效間隙的沉積速率308相比,具有第一有效間隙的沉積速率300係在較小半徑處開始提升,但較靠近基板邊緣的沉積速率308係大於沉積速率300。在此方式中,可根據有效間隙(例如,根據可能的有效間隙及/或基板厚度的範圍所界定的可利用調整範圍)而調整基板邊緣處的沉積速率。
於是,系統控制器236可調整處理參數(例如,處理氣體的流率、電漿RF功率等),以基於所計算的間隙/基板厚度而選擇性地提升或降低沉積速率。舉例來說,系統控制器236可儲存數據,所述數據將沉積速率及/或所需處理參數直接地與接收器208所發射的量測信號的數值(例如,電壓)取得關聯。所述數據可包括將沉積速率索引於所測量之信號數值、及相應基板厚度及/或間隙的表。
在一些示例中,可使用相關的致動器來調整噴淋頭220及/或基板支撐件216的高度。舉例來說,可控制致動器240以將基板支撐件216升起及降低,而致動器244可用以將噴淋頭220升起及降低。在這些示例中,取代調整處理參數、及/或除調整處理參數之外,系統控制器236可選擇性地將噴淋頭220及/或基板支撐件216升起及降低,以調整間隙的寬度。
圖4根據本揭露顯示另一示例性處理腔室400。在此示例中,處理腔室400包括組合式發射器/接收器404。基板412係設置在基板支撐件416上,而該基板支撐件416係位於噴淋頭420下方。在噴淋頭420的下表面與基板412之間界定出有效間隙T G
在此示例中,發射器/接收器404係配置以將信號(例如,雷射、或其他光信號)432發射而朝往基板412與噴淋頭420之間的有效間隙。舉例來說,發射器/接收器404係配置以響應系統控制器436所產生的控制信號而發射光信號432。與圖2中顯示之示例不同的是,發射器/接收器404接收噴淋頭420及基板412所反射的部分光信號432,並向系統控制器436提供一信號以指示所反射之光信號432的特性。舉例來說,提供至系統控制器436的該信號可指示經反射且由發射器/接收器404所接收的部分光信號432之射束強度。系統控制器436係配置以基於所量測的光信號432之特性,計算基板412的厚度及/或有效間隙的寬度。
僅舉例說明,光信號432的射束強度係取決於被反射且未通過該有效間隙的光信號432量。換言之,若基板412係較厚的、或是該有效間隙被另為減低(例如,由於噴淋頭420、基板支撐件416等的製造公差、構件隨著時間而收縮及膨脹、構件磨損等原因),則將有較少的光信號432能夠通過間隙,而較多的光信號432將會被反射而朝向發射器/接收器404。相反地,若基板412係較薄的、或是該有效間隙被另為增加,則將有較多的光信號432能夠通過間隙,而較少的光信號432將會被反射而朝向發射器/接收器404。
於是,在此示例中,雷射強度I與間隙T G成反比關係,而與基板厚度T S成正比關係。系統控制器436係配置以與圖2中所描述的類似方式,而基於所測量的雷射強度計算有效間隙及/或基板厚度。
雖然描述基板厚度及有效間隙,然而亦可將發射器204及接收器208、及/或發射器/接收器404用於判定及調整處理腔室的其他特性。舉例來說,光信號232/432的特性還可指示其他機械構件的尺寸,所述機械構件包括但不限於設置在基板支撐件上方的噴淋頭、上電極、或其他構件、基板支撐件的上部板、邊緣環等。構件厚度可因為構件的收縮及膨脹、磨損、加工公差等因素而改變。光信號232/432還可指示構件的設置(例如,邊緣環或其他構件的設置、可動式邊緣環的高度等)。在又其他示例中,還可將光信號232/432用於判定基板的設置。舉例來說,取決於該基板是否位於期望的置中位置中,而可能改變所量測的光信號232/432的特性。
現在請參照圖5,用於判定基板厚度(及/或有效間隙)並據此調整處理參數的示例性方法500係開始於方塊504處。在方塊508處,方法500(例如,發射器204、發射器/接收器404等)將信號(例如,雷射或其他光信號)發射朝向基板與構件之間的間隙,其中該構件係設置在基板上方。在方塊512處,方法500(例如,接收器208、發射器/接收器404等)產生一量測信號,指示通過該間隙朝往接收器208、或是經反射朝往發射器/接收器404而接收的發射信號之特性。舉例來說,所述特性包括射束或雷射強度I、以及量測信號,其中該量測信號係對應於依據該雷射強度而提高或降低的電壓或其他數值(例如,電流)。
在方塊516處,方法500(例如,系統控制器236)將指示所發射信號之特性的該量測信號進行接收。舉例來說,該量測信號可指示雷射強度。在方塊520處,方法500(例如,系統控制器236)基於該量測信號而判定基板或處理腔室的特性,例如基板厚度、腔室構件尺寸、及/或有效間隙。舉例來說,方法500可基於所儲存的數據而判定基板厚度,其中該所儲存的數據將接收器208產生的信號的電壓或其他數值直接地與基板厚度及/或有效間隙產生關聯。
在方塊524處,方法500(例如,系統控制器236)基於所判定的特性(例如,所判定的基板厚度)而調整一或更多處理參數及/或處理腔室參數。舉例來說,方法500可基於所判定的基板厚度而調整與沉積相關的處理參數,以提高或降低沉積速率。在一些示例中,方法500可基於所儲存的數據而調整處理參數,其中該所儲存的數據將電壓或其他數值直接地與處理參數的調整、與處理參數的偏差等產生關聯。
在方塊528處,方法500(例如,系統控制器236)判斷處理步驟(例如,沉積步驟)是否完成。若已完成,則方法500於方塊532處結束。若未完成,則方法500續行至方塊508。
前述的實施方式在本質上僅為說明性的,且並非意旨對本揭露、其應用、或使用進行限制。本揭露的廣義教示得以各種形式而實施。因此,雖然本揭露包括特定示例,但本揭露的真實範圍應當不因此而受限,原因在於在對圖式、說明書、及下列申請專利範圍的研讀後,其他的修正將變得顯而易知。應當理解,在不變更本揭露之原則的情況下,一方法中的一或更多步驟得以不同順序(或同時地)執行。此外,雖然係將各實施例在上方描述成具有某些特徵,但可將對於本揭露之任何實施例所描述的任一或更多這些特徵實施在、及/或組合至任何其他實施例的特徵,即使該組合並未明確地描述。換言之,所描述的實施例並非是彼此互斥的,且一或更多實施例的彼此替換仍落入本揭露的範圍內。
在複數元件之間(例如,在模組、電路元件、半導體層等之間)的空間與功能性關係可使用各種術語來加以描述,包括「連接」、「接合」、「耦接」、「相鄰」、「在…旁」、「在…的頂部」、「上方」、「下方」、以及「設置在…」。除非明確地描述為「直接」,否則在上述揭露中描述第一與第二元件之間的關係時,該關係可為在第一與第二元件之間不存在其他中間元件的直接關係,亦可為在第一與第二元件之間存在一或更多中間元件(不論是空間性、或功能性)的非直接關係。如本文中所使用,應該將詞組「A、B、及C的至少一者」、及「A、B、或C的至少一者」視為是代表使用非排他性的邏輯OR的邏輯(A或B或C),而不應該被視為是代表「至少一個A、至少一個B、與至少一個C」。
在一些實行例中,控制器為系統的一部份,該系統可為上述示例的一部分。這樣的系統可包括半導體處理設備,該半導體處理設備包括一或更多處理工具、一或更多腔室、用於處理的一或更多平台、及/或特定處理組件(晶圓基座、氣體流動系統等)。這些系統可與電子元件進行整合,以在半導體晶圓、或基板的處理之前、期間、與之後控制它們的操作。所述電子元件可被稱為「控制器」,其可控制一或更多系統的各種組件或子部件。取決於處理需求、及/或系統類型,可將控制器進行編程以控制本文所揭露的任何處理,包括處理氣體的運輸、溫度設定(例如,加熱、及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流量設定、流體運輸設定、定位及操作設定、對於一工具、及其他傳輸工具、及/或連接至或與特定系統相互連接的傳送室之晶圓傳輸進出。
廣義來說,可將控制器定義成具有各種積體電路、邏輯、記憶體、及/或軟體的電子設備,以接收指令、發送指令、控制操作、啟動清除操作、啟動終點測量等。所述積體電路可包括以韌體形式儲存程式指令的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或一或更多執行程式指令(例如,軟體)的微處理器或微控制器。程式指令可係以各種獨立設定(或程式檔案)形式而傳送至控制器的指令,而定義出用於在半導體基板上、或針對半導體基板、或對系統執行特定步驟的操作參數。在一些實施例中,操作參數可係為由製程工程師所定義之配方的一部分,以在將一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒進行加工的期間完成一或更多的處理步驟。
在一些實行例中,控制器可為電腦的一部分、或耦接至電腦,所述電腦係整合並耦接至所述系統,或係以其他方式網路連接至所述系統,或是其組合。例如,控制器可位於「雲端」中、或FAB主電腦系統的全部、或一部分而可允許基板處理的遠端存取。電腦可使對系統的遠端存取能夠監控加工操作的當前進程、檢視過去加工操作的歷史、檢視來自複數加工操作的趨勢或性能度量、變更當前處理的參數、設定當前處理之後的處理步驟、或是開始新的處理。在一些示例中,遠端電腦(例如,伺服器)可透過網路向系統提供處理配方,其中該網路可包括區域網路、或網際網路。遠端電腦可包括使用者介面,而能夠對參數及/或設定進行輸入或編寫,所述參數及/或設定則接著從遠端電腦傳達至系統。在一些示例中,控制器接收數據形式的指令,所述指令為在一或更多操作期間待執行之每一處理步驟指定參數。應當理解的是,所述參數可特定於待執行的步驟類型,及控制器所配置以連接或控制的工具類型。因此,如上所述,控制器可例如藉由包括一或更多離散控制器而進行分佈,所述離散控制器係彼此以網路連接且朝向共同的目的(例如本文所述的步驟與控制)而運作。為此目的所分佈的控制器之示例將係位於腔室上的一或更多積體電路,其與遠端設置(例如,位於平台層或作為遠端電腦的一部分)、且結合以控制腔室上之步驟的一或更多積體電路連通。
不具限制地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉–清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、晶邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、或可有關於或使用於半導體晶圓之加工及/或製造中的其他半導體處理系統。
如上所述,取決於工具所待執行的一或更多處理步驟,控制器可連通至一或更多其他工具電路或模組、其他工具組件、群集式工具、其他工具介面、相鄰工具、鄰近工具、遍布於工廠的工具、主電腦、另一控制器、或材料輸送中所使用的工具,而將基板的容器帶進及帶出半導體製造工廠的工具位置、及/或裝載通口。
100:基板處理系統 102:處理腔室 104:上電極 106:基板支撐件 108:基板 109:噴淋頭 110:底板 112:頂板 114:接合層 116:冷卻劑通道 118:邊緣環 120:RF產生系統 122:RF電壓產生器 124:匹配及分配網路 130:氣體運輸系統 132,132-1~132-N:氣體來源 134,134-1~134-N:閥部 136,136-1~136-N:質量流量控制器 140:歧管 142:溫度控制器 144:熱控制元件(TCE) 146:冷卻劑組件 150:閥部 152:幫浦 160:系統控制器 162:記憶體 170:機器人 171:裝置前端模組(EFEM) 172:負載鎖室 173:真空轉移模組(VTM) 176:密封件 180:發射器 182:接收器 200:處理腔室 204:發射器 208:接收器 212:基板 216:基板支撐件 220:噴淋頭 224:底板 228:上部板 232:光信號 236:系統控制器 240,244:致動器 300,304,308:沉積速率 400:處理腔室 404:組合式發射器/接收器 412:基板 416:基板支撐件 420:噴淋頭 432:光信號 436:系統控制器 500:方法 504,508,512,516,520,524,528,532:方塊 T G:間隙
從實施方式及隨附圖式將能更加完整地理解本揭露,其中:
圖1係根據本揭露的示例性基板處理系統的功能方塊圖,其中該基板處理系統包括基板支撐件;
圖2係根據本揭露的示例性處理腔室,其中該處理腔室包括設置以測量基板厚度的發射器及接受器;
圖3係根據本揭露的一圖表,顯示不同有效間隙的示例性沉積速率;
圖4係根據本揭露的另一示例性處理腔室,其中該處理腔室包括設置以測量基板厚度的發射器/接收器;及
圖5係根據本揭露而繪示用於判定基板厚度的示例性方法500的步驟。
在該等圖式中,可重複使用元件符號以標示類似及/或相同的元件。
200:處理腔室
204:發射器
208:接收器
212:基板
216:基板支撐件
220:噴淋頭
224:底板
228:上部板
232:光信號
236:系統控制器
240,244:致動器

Claims (20)

  1. 一種用於判定在處理腔室中所設置的基板之厚度的系統,該系統包括: 發射器,配置以將信號發射而朝往該基板與該處理腔室的構件之間的間隙,其中該構件係設置在該基板上方; 接收器,配置以接收所發射的該信號的至少一部份,並基於所接收的該信號的該部分的特性而產生量測信號;以及 系統控制器,配置以接收該量測信號,並基於該量測信號的複數數值與(i)該基板之該厚度、(ii)介於該基板與該處理腔室之該構件之間的該間隙的寬度、以及(iii)該處理腔室之參數的調整量的其中至少一者之間的關係,而選擇性地調整該處理腔室的該參數。
  2. 如請求項1所述之用於判定在處理腔室中所設置的基板之厚度的系統,其中所發射的該信號係雷射,而該特性係該雷射的射束強度。
  3. 如請求項2所述之用於判定在處理腔室中所設置的基板之厚度的系統,其中該發射器及該接收器係設置在該處理腔室的相對側壁上,而該雷射的該射束強度係對應於該雷射穿過該間隙而被該接收器所接收的一部分。
  4. 如請求項2所述之用於判定在處理腔室中所設置的基板之厚度的系統,其中組合式發射器/接收器係包括該發射器及該接收器,而該雷射的該射束強度係對應於該雷射被該基板及該構件之至少一者反射、而返回朝往該發射器/接收器的一部分。
  5. 如請求項2所述之用於判定在處理腔室中所設置的基板之厚度的系統,其中該量測信號的該等數值包括指示該射束強度的電壓數值及電流數值的其中一者。
  6. 如請求項1所述之用於判定在處理腔室中所設置的基板之厚度的系統,其中該系統控制器係配置以基於該量測信號的該等數值而計算該基板之該厚度及該間隙之該寬度的至少一者。
  7. 如請求項6所述之用於判定在處理腔室中所設置的基板之厚度的系統,其中該系統控制器係配置以基於該基板之該厚度及該間隙之該寬度的該至少一者,而計算該參數的該調整量。
  8. 如請求項1所述之用於判定在處理腔室中所設置的基板之厚度的系統,其中該系統控制器係配置以基於所儲存的數據而判定該基板之該厚度及該間隙之該寬度的至少一者,其中該所儲存的數據將該量測信號的該等數值與該基板之該厚度及該間隙之該寬度的該至少一者產生關聯。
  9. 如請求項1所述之用於判定在處理腔室中所設置的基板之厚度的系統,其中該系統控制器係配置以基於所儲存的數據而判定該參數的該調整量,其中該所儲存的數據將該量測信號的該等數值與該參數的該調整量產生關聯。
  10. 如請求項1所述之用於判定在處理腔室中所設置的基板之厚度的系統,其中該參數係對應於沉積速率及蝕刻速率的至少一者,而該系統控制器係配置以基於該量測信號的該等數值而調整該沉積速率及該蝕刻速率的該至少一者。
  11. 一種用於判定在處理腔室中所設置的基板之厚度的方法,該方法包括: 使用發射器將信號發射朝往該基板與該處理腔室的構件之間的間隙,其中該構件係設置在該基板上方; 使用接收器接收所發射的該信號的至少一部分,並且基於所接收的該信號的該部分的特性而產生量測信號;以及 基於該量測信號的複數數值與(i)該基板之該厚度、(ii)介於該基板與該處理腔室之該構件之間的該間隙的寬度、以及(iii)該處理腔室之參數的調整量的其中至少一者之間的關係,而選擇性地調整該處理腔室的該參數。
  12. 如請求項11所述之用於判定在處理腔室中所設置的基板之厚度的方法,其中所發射的該信號係雷射,而該特性係該雷射的射束強度。
  13. 如請求項12所述之用於判定在處理腔室中所設置的基板之厚度的方法,其中該發射器及該接收器係設置在該處理腔室的相對側壁上,而該雷射的該射束強度係對應於該雷射穿過該間隙而被該接收器所接收的一部分。
  14. 如請求項12所述之用於判定在處理腔室中所設置的基板之厚度的方法,其中組合式發射器/接收器係包括該發射器及該接收器,而該雷射的該射束強度係對應於該雷射被該基板及該構件之至少一者反射、而返回朝往該發射器/接收器的一部分。
  15. 如請求項12所述之用於判定在處理腔室中所設置的基板之厚度的方法,其中該量測信號的該等數值包括指示該射束強度的電壓數值及電流數值的其中一者。
  16. 如請求項11所述之用於判定在處理腔室中所設置的基板之厚度的方法,更包括基於該量測信號的該等數值而計算該基板之該厚度及該間隙之該寬度的至少一者。
  17. 如請求項16所述之用於判定在處理腔室中所設置的基板之厚度的方法,更包括基於該基板之該厚度及該間隙之該寬度的該至少一者,而計算該參數的該調整量。
  18. 如請求項11所述之用於判定在處理腔室中所設置的基板之厚度的方法,更包括基於所儲存的數據而判定該基板之該厚度及該間隙之該寬度的至少一者,其中該所儲存的數據將該量測信號的該等數值與該基板之該厚度及該間隙之該寬度的該至少一者產生關聯。
  19. 如請求項11所述之用於判定在處理腔室中所設置的基板之厚度的方法,更包括基於所儲存的數據而判定該參數的該調整量,其中該所儲存的數據將該量測信號的該等數值與該參數的該調整量產生關聯。
  20. 如請求項11所述之用於判定在處理腔室中所設置的基板之厚度的方法,其中該參數係對應於沉積速率及蝕刻速率的至少一者,而該方法更包括基於該量測信號的該等數值而調整該沉積速率及該蝕刻速率的該至少一者。
TW110111015A 2020-03-27 2021-03-26 使用對照式雷射感測器的原位晶圓厚度及間隙監測 TW202214998A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063001145P 2020-03-27 2020-03-27
US63/001,145 2020-03-27

Publications (1)

Publication Number Publication Date
TW202214998A true TW202214998A (zh) 2022-04-16

Family

ID=77890719

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110111015A TW202214998A (zh) 2020-03-27 2021-03-26 使用對照式雷射感測器的原位晶圓厚度及間隙監測

Country Status (5)

Country Link
JP (1) JP2023519306A (zh)
KR (1) KR20220159431A (zh)
CN (1) CN115335976A (zh)
TW (1) TW202214998A (zh)
WO (1) WO2021195190A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023211729A1 (en) * 2022-04-29 2023-11-02 Lam Research Corporation Automatic gap compensation using light source and sensor for substrate processing systems

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060062897A1 (en) * 2004-09-17 2006-03-23 Applied Materials, Inc Patterned wafer thickness detection system
FI119259B (fi) * 2006-10-18 2008-09-15 Valtion Teknillinen Pinnan ja paksuuden määrittäminen
US9360302B2 (en) * 2011-12-15 2016-06-07 Kla-Tencor Corporation Film thickness monitor
TW201629264A (zh) * 2015-01-22 2016-08-16 應用材料股份有限公司 用於間隙偵測的智能止動器及控制機制
US10680150B2 (en) * 2017-08-15 2020-06-09 Dragan Grubisik Electrically conductive-semitransparent solid state infrared emitter apparatus and method of use thereof

Also Published As

Publication number Publication date
JP2023519306A (ja) 2023-05-10
CN115335976A (zh) 2022-11-11
KR20220159431A (ko) 2022-12-02
WO2021195190A1 (en) 2021-09-30

Similar Documents

Publication Publication Date Title
KR102488729B1 (ko) 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
TWI752051B (zh) 用以防止電性發弧與點火並改善製程均勻性之具有特徵部的靜電夾頭
TWI783960B (zh) 具有改良的處理均勻性之基板支撐件
US20190244793A1 (en) Tapered upper electrode for uniformity control in plasma processing
TWI775814B (zh) 用以降低發弧的氦插塞設計
TWI796249B (zh) 可運動的邊緣環設計
US10763142B2 (en) System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US11236422B2 (en) Multi zone substrate support for ALD film property correction and tunability
TW202214998A (zh) 使用對照式雷射感測器的原位晶圓厚度及間隙監測
TWI816856B (zh) 基板處理系統與用於操作基板處理系統的方法
US10725485B2 (en) System and method for calculating substrate support temperature
CN114514594A (zh) 包含预热喷头的低温等离子体增强化学气相沉积处理
KR20200131912A (ko) 기판 프로세싱 동안 기판 온도 결정 및 제어
US20230369091A1 (en) High temperature pedestal with extended electrostatic chuck electrode
TW202416409A (zh) 用於基板處理系統的使用光源及感測器之自動間隙補償
WO2023211729A1 (en) Automatic gap compensation using light source and sensor for substrate processing systems
TW202205350A (zh) 用於處理具缺口之晶圓的電漿排除區域環
JP2023527503A (ja) 基板処理システムのための高精度エッジリングのセンタリング
JP2022537038A (ja) 基板処理システム用の縮径キャリアリングハードウェア
CN115136279A (zh) 用于衬底处理基座的带内翅片的冷却剂通道
TW202137822A (zh) 基板處理系統之高精度邊緣環定心