CN107768275B - 衬底处理系统和处理在衬底处理系统中的衬底的方法 - Google Patents

衬底处理系统和处理在衬底处理系统中的衬底的方法 Download PDF

Info

Publication number
CN107768275B
CN107768275B CN201710564129.9A CN201710564129A CN107768275B CN 107768275 B CN107768275 B CN 107768275B CN 201710564129 A CN201710564129 A CN 201710564129A CN 107768275 B CN107768275 B CN 107768275B
Authority
CN
China
Prior art keywords
process gas
edge ring
substrate
inner portion
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710564129.9A
Other languages
English (en)
Other versions
CN107768275A (zh
Inventor
张依婷
斯拉瓦纳普利安·斯利拉曼
亚历克斯·帕特森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN107768275A publication Critical patent/CN107768275A/zh
Application granted granted Critical
Publication of CN107768275B publication Critical patent/CN107768275B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

本发明涉及利用可移动边缘环和气体注入调整控制晶片上CD均匀性。一种衬底处理系统中的衬底支撑件包括内部部分和外部部分。内部部分定位于气体分配装置下方,所述气体分配装置被构造成将第一处理气体引向所述内部部分。所述外部部分包括边缘环,所述边缘环围绕所述内部部分的外周边定位以至少部分地环绕所述内部部分和布置在所述内部部分上的衬底。所述边缘环被配置为相对于所述内部部分升高和降低,并且将第二处理气体朝所述内部部分引导。控制器确定在处理过程中沉积在所述衬底上的材料的分配,并且基于所确定的所述分配,选择性地调整边缘环的位置,以及选择性地调整所述第一处理气体和所述第二处理气体中的至少一种的流动。

Description

衬底处理系统和处理在衬底处理系统中的衬底的方法
技术领域
本发明涉及衬底处理,并且更具体地涉及用于控制衬底处理中的蚀刻均匀性的系统和方法。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。在此背景技术部分以及在提交申请时不能确定为现有技术的描述的各方面中描述的程度上的当前指定的发明人的工作,既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理系统可用于处理衬底(例如,半导体晶片)。可以在衬底上执行的示例性工艺包括但不限于化学气相沉积(CVD)、原子层沉积(ALD)、导体蚀刻、和/或其他蚀刻、沉积或清洁工艺。衬底可以布置在衬底处理系统的处理室中的衬底支撑件上,例如基座、静电卡盘(ESC)等上。在蚀刻期间,可以将包括一种或多种前体的气体混合物引入到处理室中,并且可以使用等离子体来引发化学反应。
衬底支撑件可以包括布置成支撑晶片的陶瓷层。例如,晶片可以在处理期间被夹持在陶瓷层上。衬底支撑件可以包括围绕衬底支撑件的外部部分(例如,周边的外部和/或邻近周边)布置的边缘环。可以提供边缘环以将等离子体约束在衬底上方的体积上,保护衬底支撑件免受由等离子体引起的侵蚀等等。
发明内容
一种衬底处理系统中的衬底支撑件包括内部部分和外部部分。内部部分定位于气体分配装置下方,所述气体分配装置被构造成将第一处理气体引向所述内部部分。所述外部部分包括边缘环,所述边缘环围绕所述内部部分的外周边定位以至少部分地环绕所述内部部分和布置在所述内部部分上的衬底。所述边缘环被配置为相对于所述内部部分升高和降低,并且将第二处理气体朝所述内部部分引导。控制器确定在处理过程中沉积在所述衬底上的材料的分配,并且基于所确定的所述分配,选择性地调整边缘环的位置,以及选择性地调整所述第一处理气体和所述第二处理气体中的至少一种的流动。
一种处理在衬底处理系统中的衬底的方法包括:提供具有内部部分和外部部分的衬底支撑件。所述内部部分定位于气体分配装置下方,并且所述外部部分包括边缘环,所述边缘环围绕所述内部部分的外周边定位以至少部分地环绕所述内部部分和布置在所述内部部分上的衬底。所述方法还包括使用所述气体分配装置将第一处理气体朝向所述内部部分引导;使用所述边缘环将第二处理气体朝向所述内部部分引导;确定在处理期间沉积在所述衬底上的材料的分配;相对于内部部分选择性地向上或向下调整边缘环的位置;以及选择性地调整所述第一处理气体和所述第二处理气体中的至少一种的流动。
具体而言,本发明的一些方面可以阐述如下:
1.一种衬底处理系统中的衬底支撑件,所述衬底支撑件包括:
位于气体分配装置下方的内部部分,所述气体分配装置被构造成将第一处理气体引向所述内部部分;
包括边缘环的外部部分,其中所述边缘环围绕所述内部部分的外周边定位以至少部分地环绕所述内部部分和布置在所述内部部分上的衬底,其中所述边缘环被配置为相对于所述内部部分升高和降低,并且其中所述边缘环被构造成将第二处理气体朝所述内部部分引导;和
控制器,其确定在处理过程中沉积在所述衬底上的材料的分配,并且基于所确定的所述分配,(i)选择性地调整所述边缘环的位置,以及(ii)选择性地调整所述第一处理气体和所述第二处理气体中的至少一种的流动。
2.根据条款1所述的衬底支撑件,其中所述材料包括蚀刻副产物。
3.根据条款1所述的衬底支撑件,其中所述控制器基于将沉积在所述衬底上的材料的分配与边缘环位置、由所述气体分配装置引导的所述第一处理气体和由所述边缘环引导的所述第二处理气体中的至少一者关联的数据来确定所述材料的分配。
4.根据条款3所述的衬底支撑件,其中所述数据包括(i)由所述第一处理气体引起的沉积在所述衬底上的材料和(ii)由所述第二处理气体引起的沉积在所述衬底上的材料的平均数。
5.根据条款3所述的衬底支撑件,其中,基于所述数据,所述控制器(i)将所述边缘环的所述位置调整到第一位置,将所述第一处理气体的流动调整到第一流率,以及将所述第二处理气体的流动调整到第二流率持续第一预定时间段,和(ii)在所述第一预定时间段之后,将所述边缘环的位置调整到第二位置,将所述第一处理气体的流动调整到第三流率,并且将所述第二处理气体的流动调整到第四流率持续第二预定时间段。
6.根据条款5所述的衬底支撑件,其中调整所述流动包括调整到所述第一流率、所述第二流率、所述第三流率和所述第四流率中的至少一者,包括关闭所述第一处理气体和所述第二处理气体中的相应的一者。
7.根据条款1所述的衬底支撑件,其中所述边缘环包括多个气体注入喷嘴。
8.根据条款7所述的衬底支撑件,其中所述多个气体注入喷嘴经由用所述边缘环限定的充气室以及耦合到所述第二处理气体的源的至少一个导管与所述第二处理气体的源流体连通。
9.一种处理在衬底处理系统中的衬底的方法,所述方法包括:
提供具有内部部分和外部部分的衬底支撑件,其中所述内部部分定位于气体分配装置下方,并且其中所述外部部分包括边缘环,所述边缘环围绕所述内部部分的外周边定位以至少部分地环绕所述内部部分和布置在所述内部部分上的衬底;
使用所述气体分配装置将第一处理气体朝向所述内部部分引导;
使用所述边缘环将第二处理气体朝向所述内部部分引导;
确定在处理期间沉积在所述衬底上的材料的分配;
相对于所述内部部分选择性地向上或向下调整所述边缘环的位置;以及
选择性地调整所述第一处理气体和所述第二处理气体中的至少一种的流动。
10.根据条款9所述的方法,其中所述材料包括蚀刻副产物。
11.根据条款9所述的方法,其中确定所述材料的分配包括基于将沉积在所述衬底上的材料的分配与边缘环位置、由所述气体分配装置引导的所述第一处理气体和由所述边缘环引导的所述第二处理气体中的至少一者关联的数据来确定所述材料的分配。
12.根据条款11所述的方法,其中所述数据包括(i)由所述第一处理气体引起的沉积在所述衬底上的材料和(ii)由所述第二处理气体引起的沉积在所述衬底上的材料的平均数。
13.根据条款11所述的方法,其还包括基于所述数据,(i)将所述边缘环的所述位置调整到第一位置,将所述第一处理气体的流动调整到第一流率,以及将所述第二处理气体的流动调整到第二流率持续第一预定时间段,并且(ii)在所述第一预定时间段之后,将所述边缘环的位置调整到第二位置,将所述第一处理气体的流动调整到第三流率,并且将所述第二处理气体的流动调整到第四流率持续第二预定时间段。
14.根据条款13所述的方法,其中调整所述流动包括调整到所述第一流率、所述第二流率、所述第三流率和所述第四流率中的至少一者,包括关闭所述第一处理气体和所述第二处理气体中的相应的一者。
15.根据条款9所述的方法,其中所述边缘环包括多个气体注入喷嘴。
16.根据条款15所述的方法,其中所述多个气体注入喷嘴经由用所述边缘环限定的充气室以及耦合到所述第二处理气体的源的至少一个导管与所述第二处理气体的源流体连通。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步范围将变得显而易见。详细描述和具体实施例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图,本发明将被更充分地理解,其中:
图1是根据本发明的示例性处理室的功能性框图;
图2A根据本公开示出了对于中心注入的处理气体,在整个衬底上的示例性的副产物分配;
图2B根据本公开示出了对于侧面注入的处理气体,在整个衬底上的示例性的副产物分配;
图3根据本公开示出了中心注入的处理气体和侧面注入的处理气体的副产物分配的示例性控制范围和平均轮廓;
图4A根据本公开示出了处于降低位置的示例性可变深度边缘环;
图4B根据本公开示出了处于升高位置的示例性可变深度边缘环;
图5A和5B根据本公开示出了包括边缘环气体注入喷嘴的示例性边缘环;以及
图6根据本公开示出了用于控制在整个衬底上的副产物分配的示例性方法的步骤。
在附图中,附图标记可以被重新使用以标识相似和/或相同的元件。
具体实施方式
衬底处理系统中的衬底支撑件可以包括边缘环。边缘环的上表面可以在衬底支撑件的上表面上方延伸,导致衬底支撑件的上表面(并且在一些示例中,导致布置在衬底支撑件上的衬底的上表面)将相对于边缘环凹陷。该凹陷可以被称为袋。边缘环的上表面和衬底的上表面之间的距离可以称为“袋深度”。通常,袋深度根据边缘环相对于衬底的上表面的高度是固定的。如果需要不同的袋深度,则必须手动更换边缘环,这可能受到晶片处理约束、工艺约束、室约束等的限制。
蚀刻处理的一些方面可以由于衬底处理系统、衬底、气体混合物等的特性而变化。例如,流动模式以及因此蚀刻速率和蚀刻均匀度可以根据边缘环的袋深度、边缘环的几何形状(即形状)等而变化。在一些示例性处理中,总体蚀刻速率随着衬底的上表面和气体分配装置的底表面之间的距离增加而变化。此外,从衬底的中心到衬底的外周,蚀刻速率可以变化。例如,在衬底的外周,鞘弯曲和离子倾斜可导致浅沟槽隔离(STI)倾斜,并且与反应物质(例如,蚀刻剂和/或沉积前体)相关的化学负载可引起硬掩模关键尺寸印出(roll off)。此外,诸如蚀刻副产物之类的材料可以重新沉积在衬底上。蚀刻速率可以根据其他工艺参数而变化,其他工艺参数包括但不限于穿过衬底的上表面的气流速度。例如,可能影响工艺结果的与各种处理气体的注入(例如,包括从中心喷嘴、侧面调节喷嘴等处的注入)相关联的参数包括但不限于气体流率、气体种类、注入角度、注入位置等。
因此,改变边缘环的构造(例如,包括边缘环高度和/或几何形状)可修改在整个衬底表面的气体速度变化图。类似地,调整与各种处理气体的注入相关的参数也可能影响处理结果。仅举例而言,气体注入参数可以包括但不限于气体流量、气体种类、注入角度、注入位置等。根据本公开的原理的可变深度边缘环系统和方法在衬底处理过程中将调整边缘环高度和调整处理气体注入的参数组合以控制蚀刻均匀性。以这种方式,可以调节气体流动再循环和相关的副产物沉积。
例如,边缘环可以耦合到被配置为响应于控制器、用户界面等而升高和降低边缘环的致动器。在一示例中,衬底处理系统的控制器根据正在执行的特定配方和相关联的气体注入参数在处理期间、处理步骤之间的期间等控制边缘环的高度。控制器可以被配置为相应地调整气体注入参数。仅举例而言,控制器可以存储将边缘环高度等等指示为与处理气体注入相关联的一个或多个参数的数据(例如,查找表)。所述数据还可以使边缘环高度和气体注入参数与在整个衬底上的蚀刻副产物分配相关联。所述数据可以对应于预定的(例如,校准的或编程的)数据,由用户通过界面提供的数据等。以这种方式,可以根据蚀刻副产物分配在处理期间通过动态调整边缘环高度和气体注入参数来实现所期望的蚀刻均匀性。在一些示例中,边缘环可以包括用于注入附加的侧面调节气体的气体注入喷嘴。
现在参考图1,示出了示例性衬底处理系统100。仅举例而言,衬底处理系统100可用于执行使用RF等离子体的蚀刻和/或其他合适的衬底处理。衬底处理系统100包括包围衬底处理系统100的其他部件并且包含RF等离子体的处理室102。衬底处理室102包括上电极104和衬底支撑件106,例如静电卡盘(ESC)。在操作期间,衬底108被布置在衬底支撑件106上。尽管示出了特定的衬底处理系统100和室102作为示例,但是本公开的原理可以应用于其他类型的衬底处理系统和室,例如,原位产生等离子体的衬底处理系统,其实现远程等离子体生成和传送(例如,使用等离子体管、微波管)等。
仅作为示例,上电极104可以包括引入和分配处理气体的气体分配装置,例如喷头109。喷头109可以包括杆部,杆部包括连接到处理室的顶表面的一端。基部通常为圆柱形并且在与处理室的顶表面间隔开的位置处从杆部的相对端径向向外延伸。喷头的基部的面向衬底的表面或面板包括多个孔,处理气体或吹扫气体通过所述多个孔流动。替代地,上电极104可以包括导电板,并且处理气体可以以另一种方式引入。
衬底支撑件106包括用作下电极的导电基板110。基板110支撑陶瓷层112。在一些实施例中,陶瓷层112可包括加热层,例如包括陶瓷多区域加热板。热阻层114(例如接合层)可以布置在陶瓷层112和基板110之间。基板110可以包括用于使冷却剂流过基板110的一个或多个冷却剂通道116。
RF产生系统120产生RF电压并将其输出到上电极104和下电极(例如,衬底支撑件106的基板110)中的一个。上电极104和基板110中的另一个可以是DC接地、AC接地或浮动的。仅作为示例,RF产生系统120可以包括RF电压发生器122,所述RF电压发生器122产生由匹配和分配网络124馈送到上电极104或基板110的RF电压。在其他示例中,可以感应地或远程地产生等离子体。尽管如为了示例目的所示的,RF产生系统120对应于电容耦合等离子体(CCP)系统,但是本公开的原理也可以在其他合适的系统中实现,诸如,仅举例而言,在变压器耦合等离子体(TCP)系统、CCP阴极系统、远程微波等离子体产生和输送系统等等中实现。
气体输送系统130包括一个或多个气体源132-1、132-2、...和132-N(统称为气体源132),其中N是大于零的整数。气体源供应一种或多种前体及其混合物。气体源还可以供应吹扫气体。也可以使用气化前体。气体源132通过阀134-1、134-2、...和134-N(统称为阀134)以及质量流量控制器136-1、136-2、...和136-N(统称为质量流量控制器136)连接到歧管140。歧管140的输出被馈送到处理室102。仅作为示例,歧管140的输出被馈送到喷头109。
温度控制器142可以连接到布置在陶瓷层112中的多个加热元件,例如,热控元件(TCE)144。例如,加热元件144可以包括但不限于对应于多区域加热板中的相应区域的宏加热元件和/或跨越多区域加热板的多个区域设置的微加热元件阵列。温度控制器142可以用于控制多个加热元件144以控制衬底支撑件106和衬底108的温度。
温度控制器142可与冷却剂组件146连通以控制流过通道116的冷却剂流。例如,冷却剂组件146可包括冷却剂泵和储存器。温度控制器142操作冷却剂组件146以选择性地使冷却剂流过通道116以冷却衬底支撑件106。
阀150和泵152可以用来将反应物从处理室102排出。系统控制器160可以用于控制衬底处理系统100的部件。机械手170可以用于将衬底输送到衬底支撑件106上以及从衬底支撑件106移除衬底。例如,机械手170可以在衬底支撑件106和装载锁172之间输送衬底。虽然示出为单独的控制器,但温度控制器142可以在系统控制器160内实现。在一些示例中,可以在陶瓷层112和基板110之间的接合层114的周边周围设置保护性密封件176。
衬底支撑件106包括边缘环180。根据本公开的原理的边缘环180相对于衬底108是可移动的(例如,可在竖直方向上向上和向下移动)。例如,边缘环180可以响应于控制器160经由致动器来控制,如下面更详细地描述的。可以在衬底处理期间根据气体注入参数来调整边缘环180。在一些示例中,边缘环180可以包括用于注入附加的侧面调节气体的气体注入喷嘴。
现在参考图2A和图2B中,示出了在整个处于升高(高)的边缘环位置和降低(低)的边缘环位置的衬底上的副产物分配。图2A示出了针对中心注入的处理气体(即,从喷头的中心或内部部分注入的气体)的副产物分配。副产物分配200示出了边缘环处于降低位置时的副产物分配(例如,以在离衬底的中心0至160mm的半径处测得的、在衬底/晶片的相应位置上的SiCl4的摩尔比(mol fraction)来计量)。相反,副产物分配204示出了边缘环处于升高位置时的副产物分配。如图所示,针对中心注入的处理气体,在衬底的边缘区域附近比在衬底的中心区域沉积较大数量的副产物,对于降低的边缘环位置和升高的边缘环位置两者均如此,但是降低边缘环导致边缘区域附近的副产物分配相对较少。
图2B示出了针对侧面注入的处理气体(即,从喷头的外部的侧面的调节部分注入的气体,和/或在一些示例中,从边缘环喷嘴注入的气体,这在下文更详细描述)的副产物分配。副产物分配208示出了边缘环处于降低位置时的副产物分配。相反,副产物分配212示出了边缘环处于升高位置时的副产物分配。如图所示,针对侧面注入的处理气体,在衬底的中心区域附近比在衬底的边缘区域沉积较大数量的副产物,对于降低的边缘环位置和升高的边缘环位置两者均如此,但是降低边缘环导致边缘区域附近的副产物分配相对较少。
图3分别示出了在整个衬底的半径上的与中心注入的气体和侧面注入的处理气体相关联的副产物分配304和308的平均副产物分配300的示例。平均副产物分配300可以对应于边缘环的预定位置的在预定的时间段(例如,对应于给定处理步骤的预定的时间段)的平均副产物分配。副产物分配304和308还可以与对应于中心注入的气体和侧面注入的气体的相应的预定的气体流率、气体种类等相关联。
因此,上边界316和下边界320之间的区域312可以对应于通过调整(即,升高和降低)边缘环的位置可实现的副产物分配的可调范围。例如,上边界316可以对应于可实现的副产物分配的示例性的最大量,并且下边界320可对应于可实现的副产物分配的示例性的最小量。可以通过选择性地调节中心注入的气体流量和侧面注入的气体流量来进一步调整副产物分配。可以在处理期间动态地调节边缘环高度和气体流量,以实现预定时间段的期望的副产物分配324。
例如,系统控制器160可以存储使衬底的每个区域的平均副产物分配与各种参数相关联的数据(诸如模型),所述参数包括但不限于边缘环位置、侧面注入的气体流量、中心注入的气体流量、气体种类、边缘环形状等。数据可以包括表示多个不同边缘环位置、处理气体注入流率、气体种类等等的副产物分配304和308的数据。仅举例而言,可以基于估计、模型、先前衬底的后处理分析等来确定包括平均副产物分配的数据。因此,对于给定的在处理期间不能调整的成组的参数(例如,边缘环形状、期望的副产物分配等),控制器160被配置为计算相关的可以在处理期间调整的参数以实现期望的副产物分配(例如,边缘环高度和相应数量的中心注入的气体流量和侧面注入的气体流量)。在一些示例中,控制器160可以在处理期间动态地计算副产物分配并相应地进行调整。例如,如图2A所示,对于给定的边缘环高度,中心注入的气体在衬底的边缘处引起较多的副产物分配,而侧面注入的气体在衬底的边缘处引起较少的副产物分配。
因此,处理可以从第一位置的边缘环和相应的中心注入的气体流率和侧面注入的气体流率开始,导致在衬底的边缘区域中的相对较多的副产物分配以及在衬底的中心区域中的相对较少的副产物分配。然后,系统控制器160可以使边缘环降低(或升高)到第二位置,同时还调整中心注入的气体和侧面注入的气体的相应的流率。例如,边缘环可以在降低而同时降低(或完全关闭)中心注入的气体的流率并增大侧面注入的气体的流率,从而导致在衬底的边缘区域中相对较少的副产物分配以及在衬底的中心区域中相对较多的副产物分配。调整相应的流率可以包括完全关闭中心注入的气体流或侧面注入的气体流,开始用被关闭的中心注入的气体流或侧面注入的气体流进行处理并且随后打开中心注入的气体流或侧面注入的气体流,等等。
在一些示例中,控制器160可以被配置为对特定工艺执行一系列的预定的调整。例如,在第一预定的时间段中,控制器160可以在选择第一相应的中心注入的气体流率和侧面注入的气体流率的同时将边缘环调整到第一高度。在第二预定的时间段中,控制器160可以在选择第二相应的中心注入的气体流率和侧面注入的气体流率的同时将边缘环调整到第二高度。以这种方式,可以将工艺或处理步骤分割成具有相应的边缘环位置和气体流率的两个或更多个预定的时间段。
现在参考图4A、4B,示出了根据本公开的原理的上面布置有衬底404的衬底支撑件400。衬底支撑件400可以包括具有内部部分(例如,对应于ESC)408和外部部分412的基部或基座。在示例中,外部部分412可以独立于内部部分408,并且可以相对于内部部分408移动。衬底404布置在内部部分408上以用于处理。控制器416与一个或多个致动器420通信,以选择性地升高和降低边缘环424以调整支撑件400的袋深度。仅举例而言,在图4A中,边缘环424被示出为在完全降低的位置,并且在图4B中的示例中,处于完全升高的位置。如图所示,致动器420对应于构造成在竖直方向上选择性地延伸和缩回销428的销致动器。在其他示例中,可以使用其他合适类型的致动器。仅举例而言,边缘环424对应于陶瓷或石英边缘环。在图4A中,控制器416与致动器420连通,以经由销428直接升高和降低边缘环424。在一些示例中,内部部分408可相对于边缘环424移动。
现在参考图5A和5B,示出了上面布置有衬底504的示例性衬底支撑件500。衬底支撑件500包括具有内部部分508和外部部分512的基部或基座。外部部分包括边缘环516,边缘环516可选择性地可移动(即,升高和降低),如上面参考图1-4所描述的。然而,为了简单起见,图5中省略了衬底支撑件500的与控制边缘环516的移动有关的部分。
衬底支撑件500位于诸如喷头520之类的气体分配装置的下方。喷头520包括中心部分524,并且可以可选地包括外部部分528。中心部分524包括中心气体喷嘴532,其布置成朝下向衬底504正上方引导处理气体。外部部分520可以包括侧面调节的气体喷嘴536,其被布置成将处理气体朝向衬底504的外边缘引导。
在一些示例中,边缘环516包括边缘环喷嘴540。可以提供边缘环喷嘴540来代替或附加在喷头520的外部部分528中的侧面调节的气体喷嘴536。边缘环喷嘴540布置成提供附加的侧面调节的气体以进一步控制如上文图1-3所述的副产物分配。例如,边缘环516可以限定配置成经由一个或多个导管548接收来自气体源552的气体的充气室544。例如,气体源552根据由如上所述的控制器(例如,图1的系统控制器160)产生的控制信号提供处理气体。
边缘环喷嘴540的特征对于不同的工艺、处理室等可以不同。可以修改的边缘环喷嘴540的示例性特征包括但不限于数量、尺寸、形状和注入角度。因此,除了调整边缘环的位置、气体流量等之外,还可以通过选择使用具有期望特性的边缘环喷嘴540的边缘环来进一步控制副产物分配。在一些示例中,边缘环516的形状可以变化以进一步控制副产物分配。例如,尽管示出了矩形内径556,但是在其他示例中,内径556可以是斜面的、弯曲的等等。
现在参考图6,用于控制整个衬底上的副产物分配的示例性方法600开始于604。在608,方法600(例如,系统控制器160)基于所选择的工艺、处理步骤等设置处理室的可调节的参数。例如,方法600可以根据将所选择的工艺的特性(例如,工艺类型、气体种类、边缘环特性等)与各种可调节的参数相关联的存储数据来设置可调节的参数。这些参数包括但不限于边缘环位置和中心注入的处理气体和侧面注入(例如,从喷头的侧面调节的部分和/或从边缘环的边缘环喷嘴注入)的处理气体的相应的气体流率。
在612,方法600开始处理或处理步骤。在616,方法600确定处理步骤是否完成。如果为真,则方法600在620处结束。如果为假,则方法600继续到624。在624,方法600确定是否调整与如上面参照图1-5所述的副产物分配的控制相关的参数。例如,方法600可以基于在处理过程中的副产物分配的计算或估计等等,在预定的时间段之后调整边缘环位置和/或处理气体流率。如果为真,则方法600继续到628。如果为假,则方法600继续到616。
在628,方法600(例如,系统控制器160)调整边缘环位置和/或气体流率。在一些示例中,方法600(例如,基于从开始处理/处理步骤起经过的时间)将边缘环位置和气体流率调整到预定值。在其他示例中,方法600可以(例如,基于当前和先前的边缘环位置,气体流速等)计算或估计在衬底的各个区域中的副产物分配并相应调整边缘环位置和/或气体流率。方法600然后继续到616。
前面的描述本质上仅仅是说明性的,并且决不意图限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,尽管本公开包括特定示例,但是本公开的真实范围不应当如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是关于本公开的任何实施方式描述的那些特征中的任何一个或多个可以在任何其他实施方式的特征中实现和/或与任何其他实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用包括“连接”、“接合”、“耦合”、“相邻”、“邻近”、“在...之上”、“在...上方”、“在…下方”和“设置”的各种术语来描述元件之间(例如,在模块、电路元件、半导体层等之间)的空间和功能关系。除非明确地描述为“直接的”,否则当在上述公开中描述第一和第二元件之间的关系时,该关系可以是其中在第一和第二元件之间不存在其他中间元件的直接关系,但是也可以是其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件的间接关系。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个,B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,所述系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,所述半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制其操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何处理,包括处理气体的输送、温度设置(例如加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置和操作设置、进出工具以及其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
广义地说,控制器可以定义为具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件的电子设备。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、限定为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单个的设置(或程序文件)的形式传送到控制器的指令,所述单个的设置(或程序文件)定义用于在半导体晶片上或为半导体晶片或系统执行特定处理的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一个或多个层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制备过程中完成一个或多个处理步骤。
在一些实现方式中,控制器可以是计算机的一部分或耦合到计算机,所述计算机与系统集成、耦合到系统、以其他方式联网到系统或这些的组合。例如,该控制器可以在“云”中,或在晶片厂(fab)主机计算机系统的全部或部分中,其使得能够对晶片处理进行远程访问。计算机可以实现对系统的远程访问以监控制备操作的目前进展,研究过去的制备操作的历史,从多个制备操作来研究趋势或性能标准,改变当前处理的参数,设置当前处理之后的处理步骤,或开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将所述参数和/或设置从远程计算机传送到系统。在一些示例中,控制器以数据的形式接收指令,所述指令指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,对于要执行的处理的类型和与控制器接口或由控制器控制的工具的类型,参数可以是特定的。因此,如上所述,控制器可以是分布式的,例如通过包括一个或多个联网在一起并朝着共同目的(例如,本文所述的处理和控制)而工作的离散控制器。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通讯的室上的一个或多个集成电路,它们结合以控制在室上的处理。
示例系统可以包括但不限于,等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制备和/或制造相关联或可以在半导体晶片的制备和/或制造中使用的任何其他半导体处理系统。
如上所述,根据将由工具执行的一个或多个工艺步骤,控制器可以与一个或多个其它工具电路或模块、其他工具部件、群集工具、其它工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在半导体制造工厂中将晶片容器往返工具位置和/或装载端口输送的材料运输中使用的工具通信。

Claims (12)

1.一种衬底处理系统,其包括:
衬底支撑件,所述衬底支撑件包括
位于气体分配装置下方的内部部分,所述气体分配装置被构造成将第一处理气体引向所述内部部分;和
包括边缘环的外部部分,其中所述边缘环围绕所述内部部分的外周边定位以至少部分地环绕所述内部部分和布置在所述内部部分上的衬底,其中所述边缘环被配置为相对于所述内部部分升高和降低,并且其中所述边缘环被构造成将第二处理气体朝所述内部部分引导;和
控制器,其被配置为在所述衬底的处理期间,
基于将再沉积到所述衬底上的蚀刻副产物材料的分配与边缘环位置、由所述气体分配装置引导的所述第一处理气体和由所述边缘环引导的所述第二处理气体中的至少一者关联的数据来计算再沉积到所述衬底上的所述蚀刻副产物材料的分配,以及
响应于所计算出的所述分配,(i)选择性地调整所述边缘环的位置,以及(ii)选择性地调整所述第一处理气体和所述第二处理气体中的至少一种的流动。
2.根据权利要求1所述的衬底处理系统,其中所述数据包括(i)由所述第一处理气体引起的沉积在所述衬底上的蚀刻副产物材料和(ii)由所述第二处理气体引起的沉积在所述衬底上的蚀刻副产物材料的平均数。
3.根据权利要求1所述的衬底处理系统,其中,基于所述数据,所述控制器(i)将所述边缘环的所述位置调整到第一位置,将所述第一处理气体的流动调整到第一流率,以及将所述第二处理气体的流动调整到第二流率持续第一预定时间段,和(ii)在所述第一预定时间段之后,将所述边缘环的位置调整到第二位置,将所述第一处理气体的流动调整到第三流率,并且将所述第二处理气体的流动调整到第四流率持续第二预定时间段。
4.根据权利要求3所述的衬底处理系统,其中调整所述流动到所述第一流率、所述第二流率、所述第三流率和所述第四流率中的至少一者包括关闭所述第一处理气体和所述第二处理气体中的相应的一者。
5.根据权利要求1所述的衬底处理系统,其中所述边缘环包括多个气体注入喷嘴。
6.根据权利要求5所述的衬底处理系统,其中所述多个气体注入喷嘴经由用所述边缘环限定的充气室以及耦合到所述第二处理气体的源的至少一个导管与所述第二处理气体的源流体连通。
7.一种处理在衬底处理系统中的衬底的方法,所述方法包括:
提供具有内部部分和外部部分的衬底支撑件,其中所述内部部分定位于气体分配装置下方,并且其中所述外部部分包括边缘环,所述边缘环围绕所述内部部分的外周边定位以至少部分地环绕所述内部部分和布置在所述内部部分上的衬底;
使用所述气体分配装置将第一处理气体朝向所述内部部分引导;
使用所述边缘环将第二处理气体朝向所述内部部分引导;并且
在所述衬底的处理期间,
基于将再沉积到所述衬底上的蚀刻副产物材料的分配与边缘环位置、由所述气体分配装置引导的所述第一处理气体和由所述边缘环引导的所述第二处理气体中的至少一者关联的数据来计算再沉积到所述衬底上的所述蚀刻副产物材料的分配,以及
响应于所计算出的所述分配,相对于所述内部部分选择性地向上或向下调整所述边缘环的位置;以及
选择性地调整所述第一处理气体和所述第二处理气体中的至少一种的流动。
8.根据权利要求7所述的方法,其中所述数据包括(i)由所述第一处理气体引起的沉积在所述衬底上的蚀刻副产物材料和(ii)由所述第二处理气体引起的沉积在所述衬底上的蚀刻副产物材料的平均数。
9.根据权利要求7所述的方法,其还包括基于所述数据,(i)将所述边缘环的所述位置调整到第一位置,将所述第一处理气体的流动调整到第一流率,以及将所述第二处理气体的流动调整到第二流率持续第一预定时间段,并且(ii)在所述第一预定时间段之后,将所述边缘环的位置调整到第二位置,将所述第一处理气体的流动调整到第三流率,并且将所述第二处理气体的流动调整到第四流率持续第二预定时间段。
10.根据权利要求9所述的方法,其中调整所述流动到所述第一流率、所述第二流率、所述第三流率和所述第四流率中的至少一者包括关闭所述第一处理气体和所述第二处理气体中的相应的一者。
11.根据权利要求7所述的方法,其中所述边缘环包括多个气体注入喷嘴。
12.根据权利要求11所述的方法,其中所述多个气体注入喷嘴经由用所述边缘环限定的充气室以及耦合到所述第二处理气体的源的至少一个导管与所述第二处理气体的源流体连通。
CN201710564129.9A 2016-08-19 2017-07-12 衬底处理系统和处理在衬底处理系统中的衬底的方法 Active CN107768275B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/241,393 2016-08-19
US15/241,393 US10410832B2 (en) 2016-08-19 2016-08-19 Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment

Publications (2)

Publication Number Publication Date
CN107768275A CN107768275A (zh) 2018-03-06
CN107768275B true CN107768275B (zh) 2023-07-25

Family

ID=61192073

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710564129.9A Active CN107768275B (zh) 2016-08-19 2017-07-12 衬底处理系统和处理在衬底处理系统中的衬底的方法

Country Status (5)

Country Link
US (2) US10410832B2 (zh)
JP (2) JP7060344B2 (zh)
KR (2) KR102383779B1 (zh)
CN (1) CN107768275B (zh)
TW (1) TW201817899A (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10410832B2 (en) * 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR102443036B1 (ko) * 2018-01-15 2022-09-14 삼성전자주식회사 플라즈마 처리 장치
CN110246737B (zh) * 2018-03-08 2021-07-06 长鑫存储技术有限公司 一种半导体晶圆结构的刻蚀方法
KR102433436B1 (ko) 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
CN110767568B (zh) * 2018-07-26 2022-05-27 北京北方华创微电子装备有限公司 压力调节组件、下电极装置、工艺腔室和半导体处理设备
KR20230106754A (ko) * 2018-08-13 2023-07-13 램 리써치 코포레이션 에지 링 포지셔닝 및 센터링 피처들을 포함하는 플라즈마 시스 튜닝을 위한 교체가능한 에지 링 어셈블리 및/또는 접을 수 있는 에지 링 어셈블리
KR102335472B1 (ko) * 2019-09-04 2021-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN112701027A (zh) * 2019-10-22 2021-04-23 夏泰鑫半导体(青岛)有限公司 等离子体处理装置及边缘环的更换方法
CN110867365B (zh) * 2019-11-04 2022-05-27 北京北方华创微电子装备有限公司 等离子体系统
KR20220160687A (ko) * 2020-04-02 2022-12-06 램 리써치 코포레이션 튜닝 가스의 국부화된 (localized) 전달을 위한 에지 링
JP2023550357A (ja) * 2020-11-23 2023-12-01 ラム リサーチ コーポレーション パージリングを介した局所的なプラズマアークの防止
US20220328342A1 (en) * 2021-04-08 2022-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma control method in semiconductor wafer fabrication
US11823939B2 (en) 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment
US11769648B2 (en) 2021-10-28 2023-09-26 Applied Materials, Inc. Ion source gas injection beam shaping

Family Cites Families (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4431477A (en) 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
EP0424299A3 (en) 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5329965A (en) 1993-07-30 1994-07-19 The Perkin-Elmer Corporation Hybrid valving system for varying fluid flow rate
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
US5605179A (en) 1995-03-17 1997-02-25 Insync Systems, Inc. Integrated gas panel
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5662143A (en) 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5744695A (en) 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
US6210593B1 (en) * 1997-02-06 2001-04-03 Matsushita Electric Industrial Co., Ltd. Etching method and etching apparatus
JP3247079B2 (ja) * 1997-02-06 2002-01-15 松下電器産業株式会社 エッチング方法及びエッチング装置
KR100295518B1 (ko) 1997-02-25 2001-11-30 아끼구사 나오유끼 질화실리콘층의에칭방법및반도체장치의제조방법
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP3586075B2 (ja) 1997-08-15 2004-11-10 忠弘 大見 圧力式流量制御装置
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
JP2000058512A (ja) 1998-08-03 2000-02-25 Matsushita Electric Ind Co Ltd プラズマ処理装置および処理方法
JP3830670B2 (ja) 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US7150994B2 (en) 1999-03-03 2006-12-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
EP1096351A4 (en) 1999-04-16 2004-12-15 Fujikin Kk FLUID SUPPLY DEVICE OF THE PARALLEL BYPASS TYPE, AND METHOD AND DEVICE FOR CONTROLLING THE FLOW OF A VARIABLE FLUID TYPE PRESSURE SYSTEM USED IN SAID DEVICE
US6210482B1 (en) 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
US6709547B1 (en) * 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
JP2001023955A (ja) 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
WO2001012873A1 (en) 1999-08-17 2001-02-22 Tokyo Electron Limited Pulsed plasma processing method and apparatus
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
JP4394778B2 (ja) 1999-09-22 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
WO2001066245A2 (en) 2000-03-07 2001-09-13 Symyx Technologies, Inc. Parallel flow process optimization reactor
JP3316490B2 (ja) 2000-03-13 2002-08-19 三菱重工業株式会社 放電電極への給電方法、高周波プラズマ生成方法および半導体製造方法
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP2002110570A (ja) 2000-10-04 2002-04-12 Asm Japan Kk 半導体製造装置用ガスラインシステム
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
JP4433614B2 (ja) 2001-01-17 2010-03-17 ソニー株式会社 エッチング装置
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
DE10216703A1 (de) 2001-04-20 2002-11-28 Festo Corp Hauppauge Stapelbare Ventilverteileranordnung
KR20040019293A (ko) 2001-05-24 2004-03-05 셀레리티 그룹 아이엔씨 소정 비율의 프로세스 유체를 제공하는 방법 및 장치
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
WO2003054947A1 (en) * 2001-12-13 2003-07-03 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
JP3856730B2 (ja) 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US7136767B2 (en) 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
JP4502590B2 (ja) 2002-11-15 2010-07-14 株式会社ルネサステクノロジ 半導体製造装置
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
KR20040050080A (ko) 2002-12-09 2004-06-16 주식회사 하이닉스반도체 플라즈마 식각 챔버용 포커스 링 구동 장치
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040163601A1 (en) * 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040168719A1 (en) 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6907904B2 (en) 2003-03-03 2005-06-21 Redwood Microsystems, Inc. Fluid delivery system and mounting panel therefor
KR100739890B1 (ko) 2003-05-02 2007-07-13 동경 엘렉트론 주식회사 처리가스도입기구 및 플라즈마 처리장치
JP4500510B2 (ja) * 2003-06-05 2010-07-14 東京エレクトロン株式会社 エッチング量検出方法,エッチング方法,およびエッチング装置
JP4224492B2 (ja) 2003-06-09 2009-02-12 シーケーディ株式会社 圧力制御システム及び流量制御システム
JP4195837B2 (ja) 2003-06-20 2008-12-17 東京エレクトロン株式会社 ガス分流供給装置及びガス分流供給方法
US7137400B2 (en) 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
JP2006128559A (ja) * 2004-11-01 2006-05-18 Tokyo Electron Ltd 基板処理システム
US20050155625A1 (en) 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7072743B2 (en) 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4407384B2 (ja) * 2004-05-28 2010-02-03 株式会社Sumco Soi基板の製造方法
US7412986B2 (en) 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7621290B2 (en) 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
JP2006344701A (ja) 2005-06-08 2006-12-21 Matsushita Electric Ind Co Ltd エッチング装置およびエッチング方法
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
KR20070078346A (ko) * 2006-01-26 2007-07-31 삼성전자주식회사 나이프 에지 링을 갖는 웨이퍼 지지장치
JP4895167B2 (ja) 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US8097120B2 (en) 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
JP4909609B2 (ja) 2006-03-01 2012-04-04 株式会社東芝 加工形状シミュレーション方法、半導体装置の製造方法及び加工形状シミュレーションシステム
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP4788920B2 (ja) 2006-03-20 2011-10-05 日立金属株式会社 質量流量制御装置、その検定方法及び半導体製造装置
US7398693B2 (en) * 2006-03-30 2008-07-15 Applied Materials, Inc. Adaptive control method for rapid thermal processing of a substrate
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US8997791B2 (en) 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
JP4814706B2 (ja) 2006-06-27 2011-11-16 株式会社フジキン 流量比可変型流体供給装置
JP5037510B2 (ja) 2006-08-23 2012-09-26 株式会社堀場エステック 集積型ガスパネル装置
KR20080023569A (ko) 2006-09-11 2008-03-14 주식회사 하이닉스반도체 식각프로파일 변형을 방지하는 플라즈마식각장치
US7757541B1 (en) 2006-09-13 2010-07-20 Pivotal Systems Corporation Techniques for calibration of gas flows
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
EP2104755A4 (en) 2006-10-26 2011-01-12 Symyx Solutions Inc HIGH PRESSURE PARALLEL FIXED BIN REACTOR AND METHOD THEREFOR
US20080110569A1 (en) 2006-11-09 2008-05-15 Go Miya Plasma etching apparatus and plasma etching method
US9405298B2 (en) 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US8104323B2 (en) 2006-12-05 2012-01-31 Horiba Stec, Co., Ltd. Flow controller, flow measuring device testing method, flow controller testing system, and semiconductor manufacturing apparatus
JP4642115B2 (ja) 2006-12-12 2011-03-02 株式会社堀場エステック 流量比率制御装置
SE530902C2 (sv) 2006-12-19 2008-10-14 Alfa Laval Corp Ab Sektionerad flödesanordning och förfarande för att reglera temperaturen i denna
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7988813B2 (en) * 2007-03-12 2011-08-02 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
US8440259B2 (en) 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
KR101437522B1 (ko) 2007-09-05 2014-09-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기 챔버에서 웨이퍼 에지 가스 주입부를 갖는캐소드 라이너
US7832354B2 (en) * 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
JP5459895B2 (ja) 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
WO2009057583A1 (ja) 2007-10-31 2009-05-07 Tohoku University プラズマ処理システム及びプラズマ処理方法
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
JP5050830B2 (ja) 2007-12-19 2012-10-17 ソニー株式会社 ドライエッチング装置および半導体装置の製造方法
WO2009084422A1 (ja) 2007-12-27 2009-07-09 Horiba Stec, Co., Ltd. 流量比率制御装置
US8037894B1 (en) 2007-12-27 2011-10-18 Intermolecular, Inc. Maintaining flow rate of a fluid
WO2009091935A1 (en) 2008-01-18 2009-07-23 Pivotal Systems Corporation Method and apparatus for in situ testing of gas flow controllers
JP2009188173A (ja) 2008-02-06 2009-08-20 Tokyo Electron Ltd 基板処理方法及び基板処理装置
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US8969151B2 (en) 2008-02-29 2015-03-03 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing resistance altering techniques
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
WO2009117565A2 (en) 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus of a substrate etching system and process
JP2010034416A (ja) 2008-07-30 2010-02-12 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
AU2009281170B2 (en) 2008-08-13 2013-01-31 Shell Internationale Research Maatschappij B.V. Method for controlling a gas flow between a plurality of gas streams
US8089046B2 (en) 2008-09-19 2012-01-03 Applied Materials, Inc. Method and apparatus for calibrating mass flow controllers
CN101754565B (zh) * 2008-12-03 2012-07-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种电极组件及应用该电极组件的等离子体处理设备
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
JP5216632B2 (ja) 2009-03-03 2013-06-19 東京エレクトロン株式会社 流体制御装置
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
CN102473634B (zh) 2009-08-20 2015-02-18 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP2013508990A (ja) 2009-10-26 2013-03-07 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング Tftマトリックスを製造するためのエッチングプロセス
US20120244715A1 (en) 2009-12-02 2012-09-27 Xactix, Inc. High-selectivity etching system and method
US9127361B2 (en) 2009-12-07 2015-09-08 Mks Instruments, Inc. Methods of and apparatus for controlling pressure in multiple zones of a process tool
WO2011078242A1 (ja) 2009-12-25 2011-06-30 株式会社堀場エステック マスフローコントローラシステム
JP5466756B2 (ja) 2010-03-04 2014-04-09 東京エレクトロン株式会社 プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
US20110265883A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9000114B2 (en) 2010-08-02 2015-04-07 Basell Polyolefine Gmbh Process and apparatus for mixing and splitting fluid streams
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
JP2012049376A (ja) 2010-08-27 2012-03-08 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US8905074B2 (en) 2010-10-22 2014-12-09 Applied Materials, Inc. Apparatus for controlling gas distribution using orifice ratio conductance control
EP2649218B1 (en) 2010-12-08 2017-08-23 Evatec AG Apparatus and method for depositing a layer onto a substrate
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5855921B2 (ja) 2010-12-17 2016-02-09 株式会社堀場エステック ガス濃度調整装置
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP2012222235A (ja) 2011-04-12 2012-11-12 Hitachi High-Technologies Corp プラズマ処理装置
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
JP6046128B2 (ja) 2011-05-31 2016-12-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマ(icp)リアクタ用動的イオンラジカルシーブ及びイオンラジカルアパーチャ
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5377587B2 (ja) 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
JP5739261B2 (ja) 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US8728239B2 (en) 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US20130104996A1 (en) 2011-10-26 2013-05-02 Applied Materials, Inc. Method for balancing gas flow supplying multiple cvd reactors
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
JP5932599B2 (ja) 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8671733B2 (en) 2011-12-13 2014-03-18 Intermolecular, Inc. Calibration procedure considering gas solubility
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9804609B2 (en) 2012-02-22 2017-10-31 Agilent Technologies, Inc. Mass flow controllers and methods for auto-zeroing flow sensor without shutting off a mass flow controller
JP5881467B2 (ja) 2012-02-29 2016-03-09 株式会社フジキン ガス分流供給装置及びこれを用いたガス分流供給方法
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
KR101974420B1 (ko) 2012-06-08 2019-05-02 세메스 주식회사 기판처리장치 및 방법
KR101974422B1 (ko) 2012-06-27 2019-05-02 세메스 주식회사 기판처리장치 및 방법
US20140027060A1 (en) * 2012-07-27 2014-01-30 Applied Matericals, Inc Gas distribution apparatus for substrate processing systems
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP5616416B2 (ja) 2012-11-02 2014-10-29 株式会社フジキン 集積型ガス供給装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US20140144471A1 (en) 2012-11-28 2014-05-29 Intermolecular, Inc. Contamination Control, Rinsing, and Purging Methods to Extend the Life of Components within Combinatorial Processing Systems
US9090972B2 (en) 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014163742A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
US20140273460A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Passive control for through silicon via tilt in icp chamber
US9425077B2 (en) 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR20140132542A (ko) 2013-05-08 2014-11-18 주식회사 미코 세라믹 히터 및 이의 제조 방법
US20150010381A1 (en) 2013-07-08 2015-01-08 United Microelectronics Corp. Wafer processing chamber and method for transferring wafer in the same
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
CN104299929A (zh) 2013-07-19 2015-01-21 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的系统和方法
JP6193679B2 (ja) 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
CN103730318B (zh) 2013-11-15 2016-04-06 中微半导体设备(上海)有限公司 一种晶圆边缘保护环及减少晶圆边缘颗粒的方法
JP5950892B2 (ja) * 2013-11-29 2016-07-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US20150184287A1 (en) 2013-12-26 2015-07-02 Intermolecular, Inc. Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
CN104752141B (zh) 2013-12-31 2017-02-08 中微半导体设备(上海)有限公司 一种等离子体处理装置及其运行方法
CN104851832B (zh) 2014-02-18 2018-01-19 北京北方华创微电子装备有限公司 一种固定装置、反应腔室及等离子体加工设备
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
JP6204869B2 (ja) 2014-04-09 2017-09-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20150340209A1 (en) 2014-05-20 2015-11-26 Micron Technology, Inc. Focus ring replacement method for a plasma reactor, and associated systems and methods
US9318343B2 (en) 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
KR101598465B1 (ko) 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
WO2016061475A1 (en) 2014-10-17 2016-04-21 Lam Research Corporation Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US11605546B2 (en) * 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
TW201634738A (zh) 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
CN107093569B (zh) 2016-02-18 2019-07-05 北京北方华创微电子装备有限公司 一种晶片定位装置及反应腔室
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10510516B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Moving focus ring for plasma etcher
US10504738B2 (en) * 2017-05-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Focus ring for plasma etcher

Also Published As

Publication number Publication date
KR20220044474A (ko) 2022-04-08
KR102383779B1 (ko) 2022-04-05
CN107768275A (zh) 2018-03-06
KR102488729B1 (ko) 2023-01-13
KR20180020876A (ko) 2018-02-28
US20190362940A1 (en) 2019-11-28
US20180053629A1 (en) 2018-02-22
JP2018032854A (ja) 2018-03-01
TW201817899A (zh) 2018-05-16
JP7060344B2 (ja) 2022-04-26
JP2022095896A (ja) 2022-06-28
JP7454600B2 (ja) 2024-03-22
US10410832B2 (en) 2019-09-10
US11424103B2 (en) 2022-08-23

Similar Documents

Publication Publication Date Title
CN107768275B (zh) 衬底处理系统和处理在衬底处理系统中的衬底的方法
US11342163B2 (en) Variable depth edge ring for etch uniformity control
CN107452590B (zh) 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
KR102556016B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
US11605546B2 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
CN110506326B (zh) 可移动的边缘环设计
JP2018014492A (ja) アーク放電および点火を防ぎプロセスの均一性を向上させるための特徴を有する静電チャック
JP2023145608A (ja) エッジリング摩耗補償のためのシステムおよび方法
WO2019217185A1 (en) Edge ring focused deposition during a cleaning process of a processing chamber
US20230369025A1 (en) High precision edge ring centering for substrate processing systems
WO2022133434A1 (en) Optimizing edge radical flux in a downstream plasma chamber
CN114008738A (zh) 用于衬底处理系统的缩小直径承载环硬件

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant