JP2713276B2 - 半導体装置の製造装置およびこれを用いた半導体装置の製造方法 - Google Patents

半導体装置の製造装置およびこれを用いた半導体装置の製造方法

Info

Publication number
JP2713276B2
JP2713276B2 JP7319000A JP31900095A JP2713276B2 JP 2713276 B2 JP2713276 B2 JP 2713276B2 JP 7319000 A JP7319000 A JP 7319000A JP 31900095 A JP31900095 A JP 31900095A JP 2713276 B2 JP2713276 B2 JP 2713276B2
Authority
JP
Japan
Prior art keywords
ring
semiconductor wafer
semiconductor device
holding member
shaped component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP7319000A
Other languages
English (en)
Other versions
JPH09162258A (ja
Inventor
祐史 瀬尾
真一 平松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP7319000A priority Critical patent/JP2713276B2/ja
Priority to KR1019960064073A priority patent/KR100239254B1/ko
Priority to US08/761,833 priority patent/US6044534A/en
Publication of JPH09162258A publication Critical patent/JPH09162258A/ja
Application granted granted Critical
Publication of JP2713276B2 publication Critical patent/JP2713276B2/ja
Priority to US09/396,877 priority patent/US6225233B1/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置の製造
装置およびこれを用いた半導体装置の製造方法に関し、
半導体装置の製造装置は例えば真空処理装置等である。
【0002】
【従来の技術】従来の半導体装置の製造装置は、図15
に示すように、減圧下で半導体ウエハ100をステージ
72の上に載置して所定の処理を行う処理室73と、半
導体ウエハ100を所定の位置に搬送する搬送装置74
と、搬送装置74を有し大気圧と所定の真空圧とを繰り
返すよう構成された搬送室75と、半導体ウエハ100
を装置外部から装置にローディングするロードロック室
76と、搬送装置74の先端に設けられ半導体ウエハ1
00を搬送する際に直接半導体ウエハ100を保持する
保持部材77と、処理室73と搬送室75を仕切るゲー
トバルブ78と搬送室75とロードロック室76を仕切
るゲートバルブ79と、ロードロック室6と装置外部と
を仕切るゲートバルブ80とを有している。
【0003】次に上記半導体装置の製造装置の動作、即
ち、上記製造装置を用いた半導体装置の製造方法につい
て説明する。
【0004】まず、ゲートバルブ80を開けて、半導体
ウエハ100を大気圧のロードロック室76にセットす
る。ゲートバルブ80を閉じて、ゲートバルブ79を開
けることにより、予めて所定の真空圧であった搬送室7
5は、ロードロック室76と同圧になり大気圧に近くな
る。そこで搬送装置74により、半導体ウエハ100を
搬送室75に運び、ゲートバルブ79を閉じて所定の真
空圧に達するまでその状態を保持する。その後、ゲート
バルブ78を開けて、半導体ウエハ100を保持してい
る搬送装置74により半導体ウエハ100を処理室73
内のステージ72の上に載置する。保持部材77が搬送
室75内に戻ったらゲートバルブ78を閉じて半導体ウ
エハ100の所定の処理を行う。処理が終了したら逆の
順番で半導体ウエハ100を処理室73からロードロッ
ク室76、さらには装置外部へ取り出す。
【0005】次に、図16および図17を参照して、処
理室73の詳細と、処理室73での半導体ウエハ100
の受け渡し手順について、半導体装置の製造装置が平行
平板型枚葉式ドライエッチング装置である場合を例に挙
げて説明する。処理室73は、図16に示すように、プ
ラズマを作成するための上部電極81、下部電極82
と、半導体ウエハ100をエッチングする際に載置する
ステージ72と、上部電極81の下面で半導体ウエハ1
00の外周近傍に位置し、半導体ウエハ100のエッチ
ング均一性を向上させるためのリング状の補正リング8
3と、半導体ウエハ100をステージ72上に載置した
り、ステージ72上から取り除く際に、半導体ウエハ1
00をステージ72の表面から所定の高さで保持できる
ように設けられた上下動可能なリフトピン84を有して
いる。このような構成は、例えば、特開昭62−128
122号公報にて開示されている。
【0006】保持部材77は、図17に示すように、保
持部材77上に載せた半導体ウエハ100がずれないよ
うに保持ガイド771が設けられている。
【0007】半導体ウエハ100をステージ72上に載
置する際は、リフトピン84を上死点まで上昇させた状
態で、半導体ウエハ100を保持ガイド771内に保持
した保持部材77をステージ72の真上まで移動させ
る。次に、保持部材77を半導体ウエハ100がリフト
ピン84上に保持され、さらに保持部材77の表面が半
導体ウエハ100の裏面より低くなるまで下降させる。
その後、保持部材77をステージ72の真上から搬送室
75に移動させて、リフトピン84を下死点まで下降さ
せることにより、半導体ウエハ100をステージ72の
上に載置させるものである。保持部材77とリフトピン
84とは、干渉しないように配置されている。尚、ステ
ージ72上の半導体ウエハ100を取り除くときは、載
置したときの逆の手順で行う。
【0008】以上説明した例とは別の従来例として、半
導体装置の製造装置が気相成長装置である場合は、半導
体ウエハを保持する保持板と、半導体ウエハ周辺の部分
を覆い取りはずし可能な保持板とに分割できる構造から
成っている。これは、例えば、特開平2−130819
号公報にて開示されている。
【0009】半導体ウエハ上に所定の気相成長処理を行
う際、保持板上に半導体ウエハを載置して処理を行う。
このときに半導体ウエハ上のみならず、半導体ウエハ周
辺の部分を覆い取りはずし可能な保持板上にも気相成長
される。その保持板上の反応性成膜がパーティクルとな
り半導体ウエハに付着して半導体装置の製造装置の歩留
り低下を招くため、定期的に半導体ウエハ周辺の部分を
覆い取りはずし可能な保持板を交換するものである。
【0010】以上説明した種の従来の半導体装置の製造
装置、およびこれを用いた半導体装置の製造方法では、
処理室内の半導体ウエハの周辺に設けられたリング状部
品(例えば、平行平板型枚葉式ドライエッチング装置の
場合には補正リングであり、気相成長装置の場合には保
持板)に、反応生成物が付着したり、エッチングされた
りするため、真空圧に保持されている処理室を大気圧に
してリング状部品の清掃または交換を行う必要がある。
【0011】平行平板型枚葉式ドライエッチング装置の
場合は、リング状部品である補正リングが、プラズマ雰
囲気中にさらされているため、エッチングされる場合が
多く、形状がそこなわれる。このため、プラズマ分布を
適正に補正していた補正リングがある時間使用すると補
正できなくなり半導体ウエハのエッチング均一性が悪化
し、半導体装置の製造装置の歩留り低下を招く。これを
未然に防ぐために、ある時間使用した補正リングは新品
に交換する必要がある。
【0012】また、所要のプロセス性能を得るために補
正リングの表面温度が他の部分より低く、デポジション
が発生しやすいガス(例えばCHF3 )を用いたプラズ
マ雰囲気では、補正リング表面にデポジションが生成す
る。このデポジションを低減する手法もあるが完全に無
くすことは不可能である。この結果、厚くなったデポジ
ションが補正リングから剥れて半導体ウエハ表面に付着
し、半導体装置の製造装置の歩留りを低下させる場合も
ある。この場合も、ある時間使用した補正リングは、交
換、またはデポジションを取り除く処置が必要となる。
【0013】また、気相成長装置やスパッタ装置の場合
についても、デポジションは、半導体ウエハのみに生成
するわけではなく、半導体ウエハ近傍に位置する保持板
上にも生成し、この肉厚が厚くなることにより半導体ウ
エハ外周部の成膜が半導体ウエハ中心部の膜厚より薄く
なり成膜均一性が悪化したり、保持板上の成膜がパーテ
ィクルとなり半導体ウエハ表面に付着する。その結果、
半導体装置の製造装置の歩留り低下を招くため、定期的
に保持板上のデポジションを取り除くまたは保持板を交
換する必要がある。
【0014】
【発明が解決しようとする課題】これら部品交換または
清掃のために、所定の真空圧に保持されている処理室を
大気圧にし、部品交換または清掃を行った後に、再度所
定の真空圧に戻す必要があり、半導体装置の製造装置の
稼働率を低下させている。
【0015】本発明の課題は、稼働率に優れた半導体装
置の製造装置を提供することである。
【0016】本発明の他の課題は、上記製造装置を用い
た半導体装置の製造方法を提供することである。
【0017】
【課題を解決するための手段】本発明によれば、減圧下
で半導体ウエハを1枚ずつ処理する処理室と、前記処理
室に並設された搬送室と、前記搬送室に並設されたロー
ドロック室と、前記搬送室内に位置し、半導体ウエハを
処理室とロードロック室との間を搬送する搬送装置と、
前記処理室内の所定の位置に載置された半導体ウエハを
持ち上げる半導体ウエハリフトアップ機構と、前記処理
室内の所定の位置に載置された半導体ウエハの周辺に設
けられたリング状部品とを有する半導体装置の製造装置
において、前記リング状部品を持ち上げるリング状部品
リフトアップ機構と、前記搬送装置の端部に設けられ、
半導体ウエハおよび前記リング状部品のいずれか一方ま
たは両方を保持可能な保持部材とを有し、前記リング状
部品リフトアップ機構によって前記リング状部品を持ち
上げ、前記保持部材に前記リング状部品を載置して前記
ロードロック室へさらには半導体装置の製造装置外部へ
取り出すことを特徴とする半導体装置の製造装置が得ら
れる。
【0018】前記半導体装置の製造装置は、平行平板型
枚葉式ドライエッチング装置であり、前記リング状部品
は、エッチング均一性を補正するための補正リングであ
ってもよい。あるいは、前記半導体装置の製造装置は、
スパッタ装置または気相成長装置であり、前記リング状
部品は、前記処理室内の所定の位置に載置された半導体
ウエハを固定するためのクランプリングの上面部を覆う
ように設けられたクランプリングカバーであってもよ
い。
【0019】本発明によればまた、前記ロードロック室
が大気圧のときに該ロードロック室内に外部からの前記
リング状部品を載置する工程と、前記保持部材に前記リ
ング状部品を載置して前記搬送室内まで搬送する工程
と、前記搬送室が所定の真空圧に達するまで待機する工
程と、前記処理室の所定の位置まで搬送して載置する工
程とを有することを特徴とする前記半導体装置の製造装
置を用いた半導体装置の製造方法が得られる。
【0020】
【作用】リング状部材をリフトアップして半導体ウエハ
を保持する保持部材を、リング状部品をも保持できるよ
う構成したことにより、真空圧の処理室内のリング状部
品を交換する際に、処理室を大気圧にすることなく、半
導体装置の製造装置外部から出し入れできる。
【0021】
【発明の実施の形態】以下、図面を参照して、本発明の
実施の形態による半導体装置の製造装置およびこれを用
いた半導体装置の製造方法を説明する。
【0022】[実施の形態1]実施の形態1による半導
体装置の製造装置としての平行平板型枚葉式ドライエッ
チング装置の全体的な構造は、図15に示した従来例と
同様である。図15を参照して、本装置は、ステージ1
2と、処理室13と、搬送装置14と、搬送室15と、
ロードロック室16と、ゲートバルブ18〜20とを有
している。また、本装置は、保持部材17を有してい
る。
【0023】図1は図15中の処理室13の断面図であ
り、図2は図1中の保持部材17の斜視図である。
【0024】図1、図2、および図15を参照して、処
理室13は、半導体ウエハ100をステージ12上に載
置して所定のドライエッチング処理するものである。上
部電極21および下部電極22は、所定のプラズマを両
電極間に発生させるものである。補正リング23は、両
電極間で発生したプラズマ分布を半導体ウエハ100の
エッチング均一性が向上するように制御するものであ
る。半導体ウエハ用リフトピン26は、半導体ウエハ1
00をステージ12上のエッチング位置から保持部材1
7による搬送位置まで上昇・下降するものである。補正
リング用リフトピン27は、先端に補正リング23を保
持しながらステージ12上のエッチング位置から保持部
材17による搬送位置まで上昇・下降するものである。
【0025】保持部材17は、室間移動する際には水平
移動し、半導体ウエハ100のみを保持する際には半導
体ウエハ100が位置ずれしないように設けられた半導
体ウエハ保持用ガイド171と、半導体ウエハ100と
補正リング23を同時または補正リング23のみを保持
する際に位置ずれしないように設けられた補正リング保
持用ガイド172とを備えている。また、処理室13内
に位置する時には、保持部材17の上面が半導体ウエハ
用リフトピン26と補正リング用リフトピン27の搬送
位置(上死点)のいずれか低い方の高さより低い位置か
ら、保持部材17に保持された半導体ウエハ100また
は補正リング23の下面が半導体ウエハ用リフトピン2
6と補正リング用リフトピン27の搬送位置(上死点)
のいずれか高い方の高さより高い位置まで上昇・下降す
るものである。
【0026】次に、本発明による半導体装置の製造方法
に含まれる補正リング23のセット工程について、図
1、図2、および図15に図3および図4のフロー図を
併せ参照して説明する。
【0027】まず、製造装置全体での補正リング23の
セット方法は、図3に示すように、ゲートバルブ20を
開け(ステップS100)、装着する補正リング23を
ロードロック室16内にセットする(ステップS10
1)。ステップS101が完了したら、ゲートバルブ2
0を閉じ(ステップS102)、ゲートバルブ19を開
ける(ステップS103)。ステップS103が完了し
たら、保持部材17をロードロック室16に移動させて
補正リング23をハンドリングさせ(ステップS10
4)、保持部材17を搬送室15に水平移動させる(ス
テップS105)。次に、ゲートバルブ19を閉じ(ス
テップS106)、搬送室15を所定の真空圧にする
(ステップS107)。ステップS107が完了したら
ゲートバルブ18を開け(ステップS108)、保持部
材17を処理室13に移動させて補正リング23を所定
の位置にセットする(ステップS109)。次に、保持
部材17のみを搬送室5に移動させ(ステップS11
0)、ゲートバルブ18を閉じ(ステップS111)、
補正リング23のセットが完了する。
【0028】次に、ステップS109からステップS1
11までの詳細フローについて、図4を用いて説明す
る。
【0029】まず、保持部材17を処理室13に移動し
(ステップS112)、補正リング用リフトピン27を
補正リング受け渡し位置(上死点)まで上昇させる(ス
テップS113)。次に、保持部材17の上面が補正リ
ング用リフトピン27の上端より低い位置まで保持部材
17を下降させ、保持部材17が保持していた補正リン
グ23を補正リング用リフトピン27へ受け渡す(ステ
ップS114)。保持部材17から補正リング23が無
くなった状態で保持部材17を搬送室15に移動させる
(ステップS110)。ゲートバルブ18を閉めて(ス
テップS111)、補正リング用リフトピン27をピン
の先端がステージ12の表面から突出しない位置(下死
点)まで下降させることにより、補正リング用リフトピ
ン27の先端に保持されていた補正リング23が所定の
位置に装着される(ステップS115)。このときステ
ップS112とステップS113の順番は逆でも構わな
い。また、ステップS111とステップS115の順番
についても、処理室13の真空圧を保つためにゲートバ
ルブ18が開となる時間を最短にする方が好ましいこと
から図4に示すフローが好ましいが、逆でも構わない。
【0030】次に、本発明による半導体装置の製造方法
に含まれる補正リング23の取り出し工程について、図
5および図6のフロー図を用いて説明する。
【0031】まず、製造装置全体での補正リング23の
取り出し方法は、図5に示すように、ゲートバルブ18
を開け(ステップS116)、保持部材17を処理室1
3に移動させ、補正リング23をハンドリングする(ス
テップS117)。
【0032】保持部材17上に補正リング23を保持し
た状態で保持部材17を搬送室15に移動させる(ステ
ップS118)。ゲートバルブ18を閉め(ステップS
119)、ゲートバルブ19を開け(ステップS12
0)、保持部材17をロードロック室16に移動させ補
正リング23をロードロック室にセットする(ステップ
S121)。保持部材17上に補正リング23が無くな
った状態で保持部材17を搬送室15に移動させる(ス
テップS122)。ゲートバルブ19を閉め(ステップ
S123)、ゲートバルブ20を開け(ステップS12
4)、補正リング23をロードロック室16から取り出
す(ステップS125)。
【0033】次に、ステップS116からステップS1
18までの詳細フローについて、図6のフロー図を用い
て説明する。
【0034】まず、ゲートバルブ18を開け(ステップ
S116)、補正リング用リフトピン27を補正リング
受け渡し位置(上死点)まで上昇させることにより、補
正リング23も補正リング受け渡し位置までリフトアッ
プする(ステップS126)。
【0035】保持部材17の上面が補正リング受け渡し
位置(上死点)まで上昇している補正リング用リフトピ
ン27の上端より低い高さで保持部材17を処理室13
に水平移動させる(ステップS127)。保持部材17
が補正リング23を保持して補正リング23が補正リン
グ用リフトピン27から浮上するまで保持部材17を上
昇させることにより、保持部材17が補正リング23を
保持する(ステップS128)。保持部材17上に補正
リング23を保持した状態で保持部材17を搬送室15
に移動することにより、補正リング23を処理室13か
ら取り出すことができる(ステップS118)。
【0036】このとき、ステップS116とステップS
126の順番は、処理室13の真空圧を保つ点から、ゲ
ートバルブ18が開となる時間を最短にする方が好まし
いため、逆の方が好ましい。
【0037】また、本実施の形態では、補正リング用リ
フトピン27上での補正リング23の受け渡し時に保持
部材17を上昇・下降動作させる場合について説明した
が、搬送装置14が保持部材17を水平移動しかできな
い構造とし、補正リング23受け渡し時には補正リング
用リフトピン27を上昇・下降動作させてもよい。この
場合は、補正リング用リフトピン27のストロークが長
くなるものの搬送装置14の構造が簡素化できることか
ら、製造装置全体が安価になるというメリットがある。
【0038】本実施の形態において、半導体ウエハ10
0を搬送するフローについては、図3から図6のフロー
図において、補正リング23を半導体ウエハ100に置
き換えると共に、補正リング用リフトピン27を半導体
ウエハ用リフトピン26に置き換えればよく、説明は省
略する。また、本実施の形態において、半導体ウエハ1
00と補正リング23とを同時に処理室13にセットし
たり、取り出すフローについても、図3から図6のフロ
ー図および説明において、補正リング23を半導体ウエ
ハ100を載置した補正リング23に置き換えればよ
い。
【0039】さて、半導体ウエハ100の所定のエッチ
ング性能を得るために選択された材質・形状である補正
リング23は、プラズマによりエッチングされること
や、反応生成物が付着することがある。前者の場合は、
導体から成る補正リング23を選定したときなどに発生
しやすく、プラズマにさらされる時間に依存して補正リ
ング23の形状が損なわれる。この結果、本来の目的で
ある均一なエッチング性能が得られなくなるため、定期
的に補正リング23を交換する必要がある。また、後者
の場合は、補正リング23の表面温度が他の部分の温度
より低い、デポジションが発生しやすいエッチングガス
(例えばCHF3 )を用いているとき等に発生しやすく
処理時間に依存して補正リング23上の反応生成物が増
加する。この結果、補正リング23上の反応生成物の高
さがステージ12の表面より高くなり、半導体ウエハ1
00の搬送ができなくなってしまうため、補正リング2
3を定期的に交換するか、または反応生成物を除去する
必要がある(反応生成物の成長速度を抑える手段はある
が、なくす手段は存在しないことから補正リング交換の
必然性がある)。
【0040】両者いずれの場合であっても、本実施の形
態によれば、所定の真空圧に保たれている処理室13の
圧力を大気圧にすることなく、交換または清掃したい補
正リング23を半導体ウエハ100を保持して搬送する
ための保持部材17により、装置外部へ取り出し、新品
または反応生成物を取り除いた補正リング23を所定の
位置にセットできる。また、同一処理室で複数のエッチ
ング処理を行う場合には、各エッチングに適した補正リ
ングを半導体ウエハと一緒に搬送して最適なエッチング
処理を行うことも可能である。
【0041】[実施の形態2]次に、実施の形態2によ
る半導体装置の製造装置およびこれを用いた半導体装置
の製造方法を説明する。実施の形態2では、製造装置と
して、スパッタ装置を例にあげる。
【0042】半導体装置の製造装置としての平行平板型
枚葉式ドライエッチング装置の全体的な構造も、図15
に示した実施の形態1と同様の構造である。図15を参
照して、本装置は、ステージ32と、処理室33と、搬
送装置34と、搬送室35と、ロードロック室36と、
ゲートバルブ38〜40とを有している。また、本装置
は、保持部材37を有している。
【0043】図7は、図15中の処理室33の断面図で
ある。図7において、上部電極(ターゲット)41と、
下部電極42は処理室33内で半導体ウエハ100をス
テージ32上に載置して所定の処理を行うためのもので
あり、クランプリング54は、ステージ32上の半導体
ウエハ100を温度制御されているステージ32に固定
し、半導体ウエハ100の温度を一定にすると共に位置
ずれを防ぐためのものである。クランプリング上下動機
構55は、ステージ32上の半導体ウエハ100を固定
する特にはクランプリング54と半導体ウエハ100が
接触し、所定の接触圧が保たれる位置(下死点)までク
ランプリング54を下降させ、ステージ32上の半導体
ウエハ100をステージ32上から取り除く時は、半導
体ウエハ用リフトピン46を上死点まで上昇させた時に
クランプリング54と半導体ウエハ100の表面が接触
しなくなる位置(上死点)まで上昇させるものである。
【0044】半導体ウエハ用リフトピン46は、半導体
ウエハ100の所定の処理を行う際には、半導体ウエハ
用リフトピン46の先端がステージ32の表面から突出
しない位置(下死点)まで下降し、ステージ32上の半
導体ウエハ100を保持部材37により取り出す際に
は、半導体ウエハ用リフトピン46の先端が、保持部材
37がステージ32上に水平移動しながら進入してくる
時の保持部材37の上端面より高い位置(上死点)まで
上昇するものである。クランプリングカバー57は、ク
ランプリング54の上面部を覆い、クランプリング54
の上部から自重のみで載置されているものである。クラ
ンプリングカバー用リフトピン47は、クランプリング
54を貫通してクランプリングカバー57のみを上下動
させるものであり、クランプリングカバー用リフトピン
47の先端がクランプリング上下動機構55が下死点に
ある時のクランプリング54上に載置されているクラン
プリングカバー57の位置が変わらない位置(下死点)
まで下降でき、クランプリングカバー57を処理室33
から取り出す際には、クランプリングカバー用リフトピ
ン47の先端が、クランプリング54の内周底面が処理
室33のステージ32上に水平移動しながら進入してく
る時の保持部材37の上端面より高い位置(上死点)ま
で上昇できるものである。
【0045】次に保持部材37の形状について、図8を
用いて説明する。図8において、保持部材37の形状
は、半導体ウエハ100を保持するための半導体ウエハ
保持用ガイド371と、クランプリングカバー57を保
持するためのクランプリングカバー保持用ガイド372
とを有している。保持部材37が半導体ウエハ100ま
たはクランプリングカバー57を各々保持した状態を、
図9(a)、(b)の断面図に示す。
【0046】次に、本発明による半導体装置の製造方法
に含まれるクランプリングカバーの脱着工程について、
図7〜図9および図15に図10〜図13のフロー図を
併せ参照して説明する。
【0047】スパッタ装置にクランプリングカバー57
をセットする全体フローは、図10に示すように、ゲー
トバルブ40を開け(ステップS129)、クランプリ
ングカバー57をロードロック室36内にセットする
(ステップS130)。次にゲートバルブ40を閉じ
(ステップS131)、ゲートバルブ39を開け(ステ
ップS132)、保持部材37をロードロック室36に
移動させて、保持部材37がクランプリングカバー57
を保持する(ステップS133)。次に、クランプリン
グカバー57を保持している保持部材37を搬送室35
に移動させ(ステップS134)、ゲートバルブ39を
閉じる(ステップS135)。そして搬送室35の圧力
を所定の真空圧にして(ステップS136)、ゲートバ
ルブ38を開け(ステップS137)、クランプリング
カバー57を保持している保持部材37を処理室33に
移動させ(ステップS138)、クランプリングカバー
57をクランプリング54上にセットする(ステップS
139)。そして、保持部材37を処理室5に移動させ
(ステップS140)、ゲートバルブ38を閉じてクラ
ンプリングカバー57のセットが完了する(ステップS
141)。
【0048】次に、ステップS138からステップS1
41までの詳細フローについて、図11を用いて説明す
る。クランプリングカバー57を保持した保持部材37
を処理室33に移動した(ステップS138)後に、ク
ランプリングカバー用リフトピン47を上死点まで上昇
させることにより、クランプリングカバー57を保持部
材37のクランプリングカバー保持用ガイド372内か
らクランプリングカバー用リフトピン47に受け渡す
(ステップS142)。保持部材37上にクランプリン
グカバー57が無くなった保持部材37を搬送室35に
移動させ(ステップS140)、ゲートバルブ38を開
ける(ステップS141)。そしてクランプリングカバ
ー用リフトピン47の先端にクランプリングカバー57
を保持したクランプリングカバー用リフトピン47を下
死点まで下降させることにより、クランプリングカバー
57をクランプリング54上の所定の位置にセットされ
る(ステップS143)。ここでステップS141とス
テップS143が逆の順番でも構わない。
【0049】次に、クランプリング54上の所定の位置
にあるクランプリングカバー57をスパッタ装置から取
り出す工程について、図12を用いて説明する。
【0050】まず、ゲートバルブ38を開け(ステップ
S144)、保持部材37を処理室33に移動させ(ス
テップS145)、保持部材37がクランプリングカバ
ー57を保持する(ステップS146)。クランプリン
グカバー57を保持している保持部材37を搬送室35
に移動させ(ステップS147)、ゲートバルブ38を
閉じる(ステップS148)。そしてゲートバルブ39
を開け(ステップS149)、クランプリングカバー5
7を保持している保持部材37をロードロック室に移動
させ(ステップS150)、クランプリングカバー57
をロードロック室36にセットする(ステップS15
1)。そして、保持部材37上にクランプリングカバー
57が無くなった保持部材37を搬送室35に移動させ
(ステップS152)、ゲートバルブ39を閉じる(ス
テップS153)。そして、ゲートバルブ40を開け
(ステップS154)、クランプリングカバー57をロ
ードロック室36から取り出すことができる(ステップ
S155)。
【0051】次に、ステップS144からステップS1
47の詳細フローについて、図13を用いて説明する。
ゲートバルブ38を開け(ステップS144)た後に、
クランプリングカバー用リフトピン47を上死点まで上
昇させることにより、クランプリング54上のクランプ
リングカバー57は、クランプリングカバー用リフトピ
ン47の先端で支えられた状態でクランプリング54上
から持ち上げられる(ステップS156)。そして保持
部材37を処理室33に移動させ(ステップS14
5)、クランプリングカバー用リフトピン47を下死点
まで下降させることにより、クランプリングカバー57
はクランプリングカバー用リフトピン47の先端から、
保持部材37のクランプリングカバー保持用ガイド37
2内に受け渡される(ステップS157)。そして、ク
ランプリングカバー57を保持した保持部材37を搬送
室35に移動させることにより、クランプリング54上
の所定の位置にあったクランプリングカバー57を処理
室33から取り出すことができる(ステップS14
7)。ここで、ステップS144とステップS156は
逆の順番でも構わない。また、本実施例では、クランプ
リング54上でのクランプリングカバー57の受け渡し
時において、保持部材37を動かさずにクランプリング
カバー用リフトピン47を上下動させた例を挙げたが、
逆にクランプリングカバー用リフトピン47を動かさず
に保持部材37を上下動させてクランプリングカバー5
7の受け渡しを行ってもよい。
【0052】半導体ウエハ100のスパッタ装置での全
体処理フローについては、図10と図12の説明におい
て、クランプリングカバー57を半導体ウエハ100に
置き換えれば同じであるので省略し、処理室33内での
詳細フローについてのみ図14を用いて説明する。
【0053】まず、クランプリング上下動機構55を上
死点まで上昇させ(ステップS158)、半導体ウエハ
100を半導体ウエハ保持用ガイド371内に保持した
保持部材37を処理室33に移動させる(ステップS1
59)。半導体ウエハ用リフトピン46を上死点まで上
昇させることにより、半導体ウエハ100を保持部材3
7の半導体ウエハ保持用ガイド371内から半導体ウエ
ハ用リフトピン46の先端に受け渡す(ステップS16
0)。保持部材37上から半導体ウエハ100が無くな
った保持部材37を搬送室35に移動させ(ステップS
161)、ゲートバルブ38を閉じる(ステップS16
2)。
【0054】半導体ウエハ用リフトピン46を下死点ま
で下降させることにより、半導体ウエハ用リフトピン4
6の先端に支えられていた半導体ウエハ100は、ステ
ージ32上にセットされる(ステップS163)。次
に、クランプリング上下動機構55を下死点まで下降さ
せて半導体ウエハ100をステージ32に固定する(ス
テップS164)。そこで所定の処理を実行し(ステッ
プS165)、処理終了後クランプリング上下動機構5
5を上死点まで上昇させ、半導体ウエハ100が自重の
みでステージ32上に載っている状態にする(ステップ
S166)。次に、半導体ウエハ用リフトピン46を上
死点まで上昇させ、半導体ウエハ100を半導体ウエハ
用リフトピン46の先端で支え、ステージ32上からリ
フトアップさせる(ステップS167)。そして、ゲー
トバルブ38を開け(ステップS168)、保持部材3
7を処理室33に移動させる(ステップS169)。
【0055】次に、半導体ウエハ用リフトピン46を下
死点まで下降させ、半導体ウエハ100を半導体ウエハ
用リフトピン46の先端から保持部材37の半導体ウエ
ハ保持用ガイド371内へ受け渡す(ステップS17
0)。そして半導体ウエハ100を保持した保持部材3
7を搬送室35へ移動させ(ステップS171)、ゲー
トバルブ38を閉じ(ステップS172)、クランプリ
ング上下動機構55を下死点まで下降させ(ステップS
173)、一連の処理室33内での動作が終了する。
【0056】尚、以上説明した実施の形態2では、スパ
ッタ装置を例にあげたが、平行平板型枚葉式ドライエッ
チング装置であっても、基本的に同様の構成であり、実
施の形態2は平行平板型枚葉式ドライエッチング装置に
も適用可能である。
【0057】さて、実施の形態2のごとく、成膜処理を
行うスパッタ装置等では、成膜は半導体ウエハの表面の
みならず、クランプリングカバー上にも生成する。処理
時間と共に厚膜化した成膜は、剥れて半導体ウエハ表面
に付着したり、半導体ウエハの外周部の成膜の障壁とな
り膜厚が薄くなるため、クランプリングカバーを定期点
に交換する必要がある。また、ドライエッチング装置で
も、クランプリングカバー上に反応生成物が付着する場
合には、同様に定期交換が必要となる。これらに対し、
本実施の形態では、クランプリングカバー交換時に、所
定の真空圧である処理室を大気圧にすることなくクラン
プリングカバー交換作業ができる。
【0058】
【発明の効果】本発明による半導体装置の製造装置は、
リング状部品を持ち上げるリング状部品リフトアップ機
構と、搬送装置の端部に設けられ、半導体ウエハおよび
リング状部品のいずれか一方または両方を保持可能な保
持部材とを有し、リング状部品リフトアップ機構によっ
てリング状部品を持ち上げ、搬送装置の保持部材にリン
グ状部品を載置してロードロック室へさらには半導体装
置の製造装置外部へ取り出す構成であり、半導体ウエハ
を保持して搬送する保持部材によって半導体ウエハ周辺
の定期交換を要するリング状部品の保持および搬送を兼
ねているため、従来、リング状部品を交換する際に所定
の真空圧に保たれている処理室を大気圧にして大気開放
し、リング状部品を交換した後に再び所定の真空圧にす
るという半導体装置の製造方法に関する工程が必要であ
ったものが不要になる。即ち、本発明による半導体装置
の製造装置は、稼働率に優れている。
【0059】詳しくは、本発明による半導体装置の製造
装置を用いた半導体装置の製造方法では、以下の効果を
奏する。
【0060】リング状部品交換作業に関して、実際上、
平行平板型枚葉式ドライエッチング装置においては、補
正リングの交換清掃頻度が多いもので2日毎に実施して
いるが、従来この作業に半日要していたのに対し、本発
明によれば、交換作業は1分程度にまで短縮できる。ま
た、スパッタ装置等の成膜装置に関しても、実際上、ク
ランプリングの交換頻度が3日毎であるが、従来は作業
に半日要していたのに対し、本発明によれば、交換作業
は1分程度にまで短縮できる。
【0061】したがって、リング状部品交換作業が装置
の実稼働を低下させる最大の要因であったものを、本特
許によれば解消できることから、装置の実稼働が向上す
る。
【0062】さらに、平行平板型枚葉式ドライエッチン
グ装置においては、従来は固定であった補正リングを半
導体ウエハ毎に任意に変えることができるため、エッチ
ングプロセスに適した補正リングを用いて半導体ウエハ
のエッチング処理が容易にできる。よって、半導体装置
の歩留りや信頼性が向上する。
【図面の簡単な説明】
【図1】図15に示す半導体装置の製造装置における処
理室の詳細を示す図である。
【図2】図1に示す処理室における保持部材を示す図で
ある。
【図3】本発明の実施の形態1による半導体装置の製造
方法における補正リングのセット工程を説明するための
フロー図である。
【図4】図3に示す補正リングのセット工程をさらに詳
細に説明するためのフロー図である。
【図5】本発明の実施の形態1による半導体装置の製造
方法における補正リングの取り出し工程を説明するため
のフロー図である。
【図6】図5に示す補正リングの取り出し工程をさらに
詳細に説明するためのフロー図である。
【図7】図15に示す半導体装置の製造装置における処
理室の詳細を示す図である。
【図8】図7に示す処理室における保持部材を示す図で
ある。
【図9】(a)および(b)共に、図8に示す保持部材
の使用状態を示す図である。
【図10】本発明の実施の形態2による半導体装置の製
造方法におけるクランプリングカバーのセット工程を説
明するためのフロー図である。
【図11】図10に示すクランプリングカバーのセット
工程をさらに詳細に説明するためのフロー図である。
【図12】本発明の実施の形態2による半導体装置の製
造方法におけるクランプリングカバーの取り出し工程を
説明するためのフロー図である。
【図13】図12に示すクランプリングカバーの取り出
し工程をさらに詳細に説明するためのフロー図である。
【図14】本発明の実施の形態2による半導体装置の製
造方法における半導体ウエハの処理工程を説明するため
のフロー図である。
【図15】従来例あるいは本発明の実施の形態1または
2による半導体装置の製造装置を示す図である。
【図16】図15に示す半導体装置の製造装置における
処理室の詳細を示す図である。
【図17】図16に示す処理室における保持部材を示す
図である。
【符号の説明】
100 半導体ウエハ 12、32、72 ステージ 13、33、73 処理室 14、34、74 搬送装置 15、35、75 搬送室 16、36、76 ロードロック室 17、37、77 保持部材 18〜20、38〜40、78〜80 ゲートバルブ 21、41、81 上部電極 22、42、82 下部電極 23、83 補正リング 26、46 半導体ウエハ用リフトピン 27 補正リング用リフトピン 47 クランプリングカバー用リフトピン 54 クランプリング 55 クランプリング上下動機構 57 クランプリングカバー 171、371、771 半導体ウエハ保持用ガイド 172 補正リング保持用ガイド 372 クランプリングカバー保持用ガイド
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/205 H01L 21/205 21/3065 21/302 C

Claims (4)

    (57)【特許請求の範囲】
  1. 【請求項1】 減圧下で半導体ウエハを1枚ずつ処理す
    る処理室と、前記処理室に並設された搬送室と、前記搬
    送室に並設されたロードロック室と、前記搬送室内に位
    置し、半導体ウエハを処理室とロードロック室との間を
    搬送する搬送装置と、前記処理室内の所定の位置に載置
    された半導体ウエハを持ち上げる半導体ウエハリフトア
    ップ機構と、前記処理室内の所定の位置に載置された半
    導体ウエハの周辺に設けられたリング状部品とを有する
    半導体装置の製造装置において、前記リング状部品を持
    ち上げるリング状部品リフトアップ機構と、前記搬送装
    置の端部に設けられ、半導体ウエハおよび前記リング状
    部品のいずれか一方または両方を保持可能な保持部材と
    を有し、前記リング状部品リフトアップ機構によって前
    記リング状部品を持ち上げ、前記保持部材に前記リング
    状部品を載置して前記ロードロック室へさらには半導体
    装置の製造装置外部へ取り出すことを特徴とする半導体
    装置の製造装置。
  2. 【請求項2】 前記半導体装置の製造装置は、平行平板
    型枚葉式ドライエッチング装置であり、前記リング状部
    品は、エッチング均一性を補正するための補正リングで
    あることを特徴とする請求項1記載の半導体装置の製造
    装置。
  3. 【請求項3】 前記半導体装置の製造装置は、スパッタ
    装置または気相成長装置であり、前記リング状部品は、
    前記処理室内の所定の位置に載置された半導体ウエハを
    固定するためのクランプリングの上面部を覆うように設
    けられたクランプリングカバーであることを特徴とする
    請求項1記載の半導体装置の製造装置。
  4. 【請求項4】 前記ロードロック室が大気圧のときに該
    ロードロック室内に外部からの前記リング状部品を載置
    する工程と、前記保持部材に前記リング状部品を載置し
    て前記搬送室内まで搬送する工程と、前記搬送室が所定
    の真空圧に達するまで待機する工程と、前記処理室の所
    定の位置まで搬送して載置する工程とを有することを特
    徴とする請求項1乃至3のいずれかに記載の半導体装置
    の製造装置を用いた半導体装置の製造方法。
JP7319000A 1995-12-07 1995-12-07 半導体装置の製造装置およびこれを用いた半導体装置の製造方法 Expired - Fee Related JP2713276B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP7319000A JP2713276B2 (ja) 1995-12-07 1995-12-07 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
KR1019960064073A KR100239254B1 (ko) 1995-12-07 1996-12-07 반도체 장치 제조기 및 동일한 제조기를 사용함으로써 반도체 장치를 제조하는 방법
US08/761,833 US6044534A (en) 1995-12-07 1996-12-09 Semiconductor device manufacturing machine and method for manufacturing a semiconductor device by using the same manufacturing machine
US09/396,877 US6225233B1 (en) 1995-12-07 1999-09-16 Semiconductor device manufacturing machine and method for manufacturing a semiconductor device by using THE same manufacturing machine

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7319000A JP2713276B2 (ja) 1995-12-07 1995-12-07 半導体装置の製造装置およびこれを用いた半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH09162258A JPH09162258A (ja) 1997-06-20
JP2713276B2 true JP2713276B2 (ja) 1998-02-16

Family

ID=18105384

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7319000A Expired - Fee Related JP2713276B2 (ja) 1995-12-07 1995-12-07 半導体装置の製造装置およびこれを用いた半導体装置の製造方法

Country Status (3)

Country Link
US (2) US6044534A (ja)
JP (1) JP2713276B2 (ja)
KR (1) KR100239254B1 (ja)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
JP3234576B2 (ja) * 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
KR100960773B1 (ko) * 2000-09-15 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
KR20030044205A (ko) * 2001-11-29 2003-06-09 동부전자 주식회사 반도체 제조 장치 및 방법
JP3896280B2 (ja) * 2001-12-25 2007-03-22 松下電器産業株式会社 プラズマ処理装置およびプラズマ処理方法
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
JP4705816B2 (ja) 2005-07-27 2011-06-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
JP5650935B2 (ja) * 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
KR101273635B1 (ko) * 2011-03-09 2013-06-17 주식회사 탑 엔지니어링 척 구조체 및 이를 이용한 반도체 기판 처리 장치
JP6003011B2 (ja) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
JP5906429B2 (ja) * 2013-02-21 2016-04-20 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10541117B2 (en) * 2015-10-29 2020-01-21 Lam Research Corporation Systems and methods for tilting a wafer for achieving deposition uniformity
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
KR20180099776A (ko) 2016-01-26 2018-09-05 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11075105B2 (en) * 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
CN109192696B (zh) * 2018-08-10 2021-06-08 北京北方华创微电子装备有限公司 升降针系统、真空反应腔室以及半导体加工设备
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP7003905B2 (ja) * 2018-12-27 2022-01-21 株式会社Sumco 気相成長装置
JP7134104B2 (ja) * 2019-01-09 2022-09-09 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US20220415702A1 (en) * 2020-02-24 2022-12-29 Lam Research Corporation Semiconductor processing chamber with dual-lift mechanism for edge ring elevation management
CN112720119B (zh) * 2020-12-19 2021-11-30 华中科技大学 一种晶圆快速定位装置及方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4344383A (en) * 1980-12-10 1982-08-17 Rca Corporation Retainer ring for securing substrates in a vacuum deposition system
JPH0760816B2 (ja) * 1985-11-29 1995-06-28 キヤノン株式会社 ドライエッチング装置
KR970003885B1 (ko) * 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
JPH02130819A (ja) * 1988-11-10 1990-05-18 Nec Kyushu Ltd 気相成長装置
US5100502A (en) * 1990-03-19 1992-03-31 Applied Materials, Inc. Semiconductor wafer transfer in processing systems
JPH06204323A (ja) * 1992-10-27 1994-07-22 Applied Materials Inc ウェハプロセスチャンバ内のドーム状加熱ペディスタルのためのクランプリング
JP3257741B2 (ja) * 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
US5437757A (en) * 1994-01-21 1995-08-01 Applied Materials, Inc. Clamp ring for domed pedestal in wafer processing chamber
US5421401A (en) * 1994-01-25 1995-06-06 Applied Materials, Inc. Compound clamp ring for semiconductor wafers
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5456756A (en) * 1994-09-02 1995-10-10 Advanced Micro Devices, Inc. Holding apparatus, a metal deposition system, and a wafer processing method which preserve topographical marks on a semiconductor wafer

Also Published As

Publication number Publication date
JPH09162258A (ja) 1997-06-20
KR100239254B1 (ko) 2000-03-02
US6044534A (en) 2000-04-04
KR970053358A (ko) 1997-07-31
US6225233B1 (en) 2001-05-01

Similar Documents

Publication Publication Date Title
JP2713276B2 (ja) 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
KR100240196B1 (ko) 반도체 처리실의 제거 가능한 셔터 장치
US7160392B2 (en) Method for dechucking a substrate
KR101354571B1 (ko) 플라즈마 강화 화학적 기상 증착 및 경사형 에지 에칭을 위한 시스템
US7375946B2 (en) Method and apparatus for dechucking a substrate
EP0272141B1 (en) Multiple chamber integrated process system
JP3398936B2 (ja) 半導体処理装置
US6330755B1 (en) Vacuum processing and operating method
US5746928A (en) Process for cleaning an electrostatic chuck of a plasma etching apparatus
US6146463A (en) Apparatus and method for aligning a substrate on a support member
KR101533138B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR100716325B1 (ko) 기판 처리 챔버 내 표면의 즉시 세정방법
KR101365129B1 (ko) 프로세스 챔버 내의 기판을 센터링하기 위한 장치 및 방법
EP1261985A2 (en) Method and apparatus for supporting a substrate
JP3909608B2 (ja) 真空処理装置
JPH07335616A (ja) ウエハ処理装置
JPH08260158A (ja) 基板処理装置
JP3162272B2 (ja) プラズマ処理方法
CN116965180A (zh) 使用应变调谐的压电模板层调谐量子发射装置的发射特性
JPH0963959A (ja) ターゲットのクリーニング方法
JPH05243190A (ja) プラズマ装置
JPH07183280A (ja) プラズマ処理装置
JPH054282Y2 (ja)
JPH0463542B2 (ja)
TW202230572A (zh) 用於多站式處理模組的肋條蓋件

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19970930

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081031

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091031

Year of fee payment: 12

LAPS Cancellation because of no payment of annual fees