KR20180099776A - 웨이퍼 에지 링 리프팅 솔루션 - Google Patents

웨이퍼 에지 링 리프팅 솔루션 Download PDF

Info

Publication number
KR20180099776A
KR20180099776A KR1020187021530A KR20187021530A KR20180099776A KR 20180099776 A KR20180099776 A KR 20180099776A KR 1020187021530 A KR1020187021530 A KR 1020187021530A KR 20187021530 A KR20187021530 A KR 20187021530A KR 20180099776 A KR20180099776 A KR 20180099776A
Authority
KR
South Korea
Prior art keywords
ring
edge ring
edge
electrostatic chuck
substrate
Prior art date
Application number
KR1020187021530A
Other languages
English (en)
Inventor
마이클 알. 라이스
요가난다 사로드 비쉬와나트
수닐 스리니바산
라진더 딘드사
스티븐 이. 바바얀
올리비에 루어
데니스 엠. 쿠사우
임마드 유시프
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180099776A publication Critical patent/KR20180099776A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

높이-조절가능 에지 링을 포함하는 장치들, 및 이를 사용하기 위한 방법들이 본원에 설명된다. 일 예에서, 기판 지지 조립체는 높이-조절가능 에지 링을 포함하고, 기판 지지 조립체는 프로세스 챔버 내에 로케이팅된다. 기판 지지 조립체는, 정전 척, 정전 척의 일부분 상에 포지셔닝되는 에지 링, 및 하나 이상의 푸시 핀들을 통해 에지 링의 높이를 조절하기 위한 하나 이상의 액추에이터들을 포함한다. 높이-조절가능 에지 링은, 시간 경과에 따른 에지 링의 침식을 보상하기 위해 사용될 수 있다. 게다가, 높이-조절가능 에지 링은, 프로세스 챔버를 통기시키고 개방하지 않고도 슬릿 밸브 개구를 통해 프로세스 챔버로부터 제거될 수 있다. 높이-조절가능 에지 링은, 기판의 에지에서의 방위각 균일성을 개선하기 위해, 하나 이상의 액추에이터들에 의해 기울어질 수 있다.

Description

웨이퍼 에지 링 리프팅 솔루션
[0001] 본 개시내용의 예들은 일반적으로, 기판들, 이를테면 반도체 기판들을 프로세싱하기 위한 장치들에 관한 것이다. 더 상세하게는, 프로세스 키트(kit), 및 이를 사용하기 위한 방법들이 개시된다.
[0002] 기판들, 이를테면 반도체 기판들 및 디스플레이 패널들의 프로세싱에서, 기판은 프로세스 챔버 내의 지지부 상에 배치되는 한편, 기판의 표면들 상에서 층들을 증착, 에칭, 형성하거나 기판의 표면들을 달리 처리하기 위한 임의의 적절한 프로세스 조건들이 프로세스 챔버 내에 유지된다. 에칭 프로세스들 동안, 에칭 프로세스를 드라이빙(drive)하는 플라즈마는 기판 표면에 걸쳐 균일하게 분포되지 않을 수 있다. 불균일성은 기판 표면의 에지(edge)에서 특히 현저하다. 이러한 불균일성은 불량한 프로세싱 결과들의 원인이 된다. 따라서, 일부 프로세스 챔버들은, 플라즈마 균일성을 증가시키고 프로세스 수율을 개선하기 위해, 프로세스 키트 링(ring)으로 또한 지칭될 수 있는 에지 링들을 사용한다.
[0003] 그러나, 종래의 에지 링들은 시간이 경과함에 따라 침식된다. 에지 링이 침식됨에 따라, 기판 표면에 걸친 플라즈마 균일성이 감소됨으로써, 기판 프로세싱에 부정적인 영향을 미친다. 프로세싱되는 기판들의 품질과 플라즈마 균일성 간에 직접적인 상관 관계가 존재하므로, 종래의 프로세스 챔버들은 플라즈마 균일성을 유지하기 위해 에지 링들의 빈번한 교체를 요구한다. 그러나, 에지 링들의 빈번한 교체는 예방 유지보수(preventative maintenance)를 위한 바람직하지 않은 작동 불능 시간(downtime)을 초래하며, 에지 링들과 같은 소모성 컴포넌트들에 대한 증가된 비용들을 유발한다.
[0004] 따라서, 플라즈마 균일성을 개선하는 방법들 및 장치들에 대한 필요성이 당업계에 존재한다.
[0005] 일 예에서, 기판을 프로세싱하기 위한 장치는, 기판 지지부; 기판 지지부 상에 배치되는 정전 척(electrostatic chuck); 및 정전 척을 둘러싸는 프로세스 키트를 포함한다. 정전 척은, 제1 부분, 제2 부분, 및 제3 부분을 포함한다. 프로세스 키트는, 정전 척의 제3 부분의 표면 상에 배치되는 지지 링; 정전 척의 제2 부분의 표면 상에 배치되는, 지지 링에 대해 독립적으로 이동가능한 에지 링; 및 지지 링 상에 배치되는 커버(cover) 링을 포함하며, 커버 링은, 지지 링과 접촉하는 제1 표면을 갖는다.
[0006] 다른 예에서, 기판 지지 조립체(assembly)는, 제1 표면을 갖는 제1 부분, 제2 표면을 갖는 제2 부분, 및 제3 표면을 갖는 제3 부분을 포함하는 정전 척; 및 프로세스 키트를 포함한다. 프로세스 키트는, 정전 척의 제3 부분의 제3 표면 상에 배치되고 그리고 정전 척의 제2 부분을 둘러싸는 지지 링; 정전 척의 제2 부분의 제2 표면 상에 배치되는 에지 링; 및 지지 링 상에 배치되는 커버 링을 포함하며, 커버 링은 에지 링을 둘러싼다. 기판 지지 조립체는, 에지 링을 상승시키도록 포지셔닝(position)되는 하나 이상의 푸시 핀(push pin)들; 및 하나 이상의 푸시 핀들에 커플링되는 하나 이상의 액추에이터(actuator)들을 더 포함하며, 하나 이상의 액추에이터들은, 하나 이상의 푸시 핀들의 높이를 제어하도록 동작가능하다.
[0007] 다른 예에서, 방법은, 에지 링을 프로세스 챔버 내의 제1 포지션에 유지하면서 제1 개수의 기판들을 프로세싱하는 단계; 에지 링을 제1 포지션으로부터 제2 포지션으로 상승시키는 단계; 및 제1 개수의 기판들 전부가 프로세스 챔버로부터 제거된 후에 로봇에 의해 프로세스 챔버로부터 에지 링을 제거하는 단계를 포함한다. 제1 개수의 기판들 중 제1 기판을 프로세싱하는 동안, 제1 기판은 정전 척의 제1 부분의 제1 표면 상에 배치되고, 에지 링은 정전 척의 제2 부분의 제2 표면 상에 배치되고 그리고 에지 링은 지지 링 상에 배치되는 커버 링에 의해 둘러싸이고, 그리고 지지 링은 정전 척의 제3 부분의 제3 표면 상에 배치된다.
[0008] 본 개시내용의 상기 인용된 특징들이 상세하게 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 보다 구체적인 설명이 본 개시내용의 양상들을 참조하여 이루어질 수 있으며, 이러한 양상들 중 일부가 첨부된 도면들에 예시되어 있다. 하지만, 첨부된 도면들은 본 개시내용의 단지 통상적인 양상들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 유의되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 양상들을 허용할 수 있기 때문이다.
[0009] 도 1은 본 개시내용의 일 예에 따른 프로세스 챔버의 개략적인 횡단면 측면도이다.
[0010] 도 2a-2b는 본 개시내용의 일 예에 따른, 도 1의 프로세스 챔버의 기판 지지 조립체의 확대된 개략적인 횡단면 측면도들이다.
[0011] 도 3은 본 개시내용의 다른 예에 따른 기판 지지 조립체의 확대된 개략적인 횡단면 부분 측면도이다.
[0012] 도 4는 본 개시내용의 다른 예에 따른 기판 지지 조립체의 확대된 개략적인 횡단면 부분 측면도이다.
[0013] 도 5는 본원에 설명된 예들에 따른 방법의 흐름도이다.
[0014] 도 6a-6c는 본 개시내용의 예들에 따른, 도 5의 방법의 다양한 스테이지들에서의 기판 표면들을 개략적으로 예시한다.
[0015] 도 7은 본 개시내용의 다른 예에 따른 기판 지지 조립체의 개략적인 횡단면 부분 측면도이다.
[0016] 도 8a-8b는 본 개시내용의 예들에 따른, 도 7의 기판 지지 조립체의 개략적인 횡단면 부분 측면도들이다.
[0017] 도 9는 본 개시내용의 일 예에 따른, 도 7의 기판 지지 조립체의 개략적인 평면도이다.
[0018] 도 10a는 본 개시내용의 일 예에 따른 에지 링의 개략적인 평면도이다.
[0019] 도 10b는 본 개시내용의 일 예에 따른, 도 10a의 에지 링의 일부분의 개략적인 측면도이다.
[0020] 도 11a는 본 개시내용의 일 예에 따른 지지 링의 개략적인 평면도이다.
[0021] 도 11b는 본 개시내용의 일 예에 따른, 도 11a의 지지 링의 일부분의 확대된 개략적인 평면도이다.
[0022] 도 12는 본 개시내용의 다른 예에 따른 기판 지지 조립체의 개략적인 횡단면 부분 측면도이다.
[0023] 이해를 용이하게 하기 위해, 가능한 모든 경우에, 도면들에 대해 공통된 동일한 엘리먼트들을 지정하기 위해 동일한 참조 번호들이 사용되었다. 부가적으로, 일 예의 엘리먼트들은, 본원에서 설명되는 다른 예들에서의 활용을 위해 유리하게 적응될 수 있다.
[0024] 높이-조절가능 에지 링을 포함하는 장치들, 및 이를 사용하기 위한 방법들이 본원에 설명된다. 일 예에서, 기판 지지 조립체는 높이-조절가능 에지 링을 포함하고, 기판 지지 조립체는 프로세스 챔버 내에 로케이팅(locate)된다. 기판 지지 조립체는, 정전 척, 정전 척의 일부분 상에 포지셔닝되는 에지 링, 및 하나 이상의 푸시 핀들을 통해 에지 링의 높이를 조절하기 위한 하나 이상의 액추에이터들을 포함한다. 높이-조절가능 에지 링은, 시간 경과에 따른 에지 링의 침식을 보상하기 위해 사용될 수 있다. 게다가, 높이-조절가능 에지 링은, 프로세스 챔버를 통기(venting)시키고 개방하지 않고도 슬릿 밸브(slit valve) 개구를 통해 프로세스 챔버로부터 제거될 수 있다. 높이-조절가능 에지 링은, 기판의 에지에서의 방위각(azimuthal) 균일성을 개선하기 위해, 하나 이상의 액추에이터들에 의해 기울어질(tilt) 수 있다.
[0025] 도 1은 본 개시내용의 일 예에 따른 프로세스 챔버(100)의 개략적인 단면도이다. 프로세스 챔버(100)는, 챔버 바디(body)(101) 및 그 상부에 배치되는 리드(lid)(103)를 포함하며, 이들은 함께 내측 볼륨(volume)을 정의한다. 챔버 바디(101)는 통상적으로 전기 접지(107)에 커플링된다. 기판 지지 조립체(111)는, 프로세싱 동안 그 상부에 기판(109)을 지지하도록 내측 볼륨 내에 배치된다. 프로세스 챔버(100)는 또한, 프로세스 챔버(100) 내에 플라즈마를 생성하기 위한 유도성으로(inductively) 커플링된 플라즈마 장치(102), 및 프로세스 챔버(100)의 예들을 제어하도록 적응되는 제어기(155)를 포함한다.
[0026] 기판 지지 조립체(111)는, 프로세싱 동안 기판(109)의 바이어싱을 가능하게 하기 위해 매칭 네트워크(120)를 통해 바이어스 소스(119)에 커플링되는 하나 이상의 전극들(153)을 포함한다. 바이어스 소스(119)는 예시적으로는, 예컨대 대략적으로 13.56 MHz의 주파수에서의 최대 약 1000 W(그러나 약 1000 W로 제한되지는 않음)의 RF 에너지의 소스일 수 있지만, 특정 애플리케이션에 대해 원하는 대로 다른 주파수들 및 전력들이 제공될 수 있다. 바이어스 소스(119)는, 연속적인 전력 또는 펄스형(pulsed) 전력 중 어느 하나 또는 이 둘 모두를 생성하는 것이 가능할 수 있다. 일부 예들에서, 바이어스 소스(119)는 DC 또는 펄스형 DC 소스일 수 있다. 일부 예들에서, 바이어스 소스(119)는 다수의 주파수들을 제공하는 것이 가능할 수 있다. 하나 이상의 전극들(153)은 척킹(chucking) 전력 소스(160)에 커플링되어 프로세싱 동안 기판(109)의 척킹을 가능하게 할 수 있다. 기판 지지 조립체(111)는, 기판(109)을 둘러싸는 프로세스 키트(도시되지 않음)를 포함할 수 있다. 프로세스 키트의 다양한 실시예들이 아래에 설명된다.
[0027] 유도성으로 커플링된 플라즈마 장치(102)는 리드(103) 위에 배치되며, 프로세스 챔버(100) 내에 플라즈마를 생성하기 위해, RF 전력을 프로세스 챔버(100)에 유도성으로 커플링시키도록 구성된다. 유도성으로 커플링된 플라즈마 장치(102)는, 리드(103) 위에 배치되는, 제1 및 제2 코일들(110, 112)을 포함한다. 각각의 코일(110, 112)의 상대적인 포지션, 직경들의 비, 및/또는 각각의 코일(110, 112)의 권수(number of turn)들은 각각, 형성되는 플라즈마의 프로파일 또는 밀도를 제어하기 위해 원하는 대로 조절될 수 있다. 제1 및 제2 코일들(110, 112) 각각은, RF 피드 구조(106)를 지나 매칭 네트워크(114)를 통해 RF 전력 공급부(108)에 커플링된다. RF 전력 공급부(108)는 예시적으로는, 50 kHz 내지 13.56 MHz의 범위 내의 튜닝가능한(tunable) 주파수에서 최대 약 4000 W(그러나 약 4000 W로 제한되지는 않음)를 생성하는 것이 가능할 수 있지만, 특정 애플리케이션에 대해 원하는 대로 다른 주파수들 및 전력들이 활용될 수 있다.
[0028] 일부 예들에서, 개개의 제1 및 제2 코일들에 제공되는 RF 전력의 상대적인 양을 제어하기 위해, RF 피드(feed) 구조(106)와 RF 전력 공급부(108) 사이에 전력 분배기(105)(이를테면, 분배 커패시터)가 제공될 수 있다. 일부 예들에서, 전력 분배기(105)는 매칭 네트워크(114)에 통합될 수 있다.
[0029] 프로세스 챔버(100)의 내부를 가열하는 것을 가능하게 하기 위해 리드(103) 최상부에 가열기 엘리먼트(113)가 배치될 수 있다. 가열기 엘리먼트(113)는, 리드(103)와 제1 및 제2 코일들(110, 112) 사이에 배치될 수 있다. 일부 예들에서, 가열기 엘리먼트(113)는 저항성 가열 엘리먼트를 포함할 수 있고, 원하는 범위 내로 가열기 엘리먼트(113)의 온도를 제어하기에 충분한 에너지를 제공하도록 구성되는 전력 공급부(115)(이를테면, AC 전력 공급부)에 커플링될 수 있다.
[0030] 동작 동안, 기판(109), 이를테면 플라즈마 프로세싱에 적절한 반도체 웨이퍼 또는 다른 기판이 기판 지지 조립체(111) 상에 배치되며, 가스 패널(116)로부터 유입 포트(entry port)들(117)을 통해 챔버 바디(101)의 내측 볼륨 내로 프로세스 가스들이 공급된다. 프로세스 가스들은, RF 전력 공급부(108)로부터의 전력을 제1 및 제2 코일들(110, 112)에 인가함으로써 프로세스 챔버(100) 내의 플라즈마(118)로 점화된다. 일부 예들에서, 바이어스 소스(119), 이를테면 RF 또는 DC 소스로부터의 전력이 또한 매칭 네트워크(120)를 통해 기판 지지 조립체(111) 내의 전극들(153)에 제공될 수 있다. 프로세스 챔버(100) 내부 내의 압력은 밸브(121) 및 진공 펌프(122)를 사용하여 제어될 수 있다. 챔버 바디(101)의 온도는, 챔버 바디(101)를 통해 이어지는 액체-함유 도관(conduit)들(도시되지 않음)을 사용하여 제어될 수 있다.
[0031] 프로세스 챔버(100)는, 프로세싱 동안 프로세스 챔버(100)의 동작을 제어하기 위한 제어기(155)를 포함한다. 제어기(155)는, 중앙 프로세싱 유닛(CPU)(123), 메모리(124), 및 CPU(123)를 위한 지원 회로들(125)을 포함하며, 프로세스 챔버(100)의 컴포넌트들의 제어를 가능하게 한다. 제어기(155)는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장(industrial setting)에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 메모리(124)는, 본원에 설명된 방식으로 프로세스 챔버(100)의 동작을 제어하기 위해 실행 또는 인보크(invoke)될 수 있는 소프트웨어(소스 또는 오브젝트 코드)를 저장한다.
[0032] 도 2a 및 도 2b는 본원에 설명된 일 예에 따른 프로세스 챔버(100)의 기판 지지 조립체(111)의 개략적인 확대도들이다. 기판 지지 조립체(111)는, 프로세스 키트(203), 기판 지지부(205), 및 정전 척(229)을 포함한다. 정전 척(229)은, 기판 지지부(205)의 최상부 표면 상에 배치되고 그리고 프로세스 키트(203)에 의해 둘러싸인다. 기판 지지부(205)는, 절연 플레이트(227)를 둘러싸는 접지 플레이트(226), 및 수직 스택(stack)으로 조립된 설비(facilities) 플레이트(228)를 포함한다. 기판 지지부(205)는, RF 고온 정전 척(229)을 접지 플레이트(226)로부터 절연시키기 위해 설비 플레이트(228) 및 정전 척(229)을 외접하여 둘러싸는 슬리브(sleeve)(230)를 더 포함한다. 슬리브(230)는 석영으로 제조될 수 있다. 프로세스 키트(203)는, 커버 링(246), 제1 에지 링(242), 및 제2 에지 링(244)을 포함한다. 커버 링(246)은 접지 플레이트(226)의 수직 에지의 상부 표면 상에 포지셔닝되며, 슬리브(230)와 맞물리기 위한 리세스(recess)를 포함한다. 커버 링(246)은, 석영 또는 임의의 다른 플라즈마 내성 재료로 제조될 수 있다.
[0033] 설비 플레이트(228)는, 접지 플레이트(226)의 하부 부분 위에 그리고 절연 플레이트(227)와 정전 척(229) 사이에 포지셔닝될 수 있다. 정전 척(229)은, 절연 재료(236)에 매립된(embedded) 복수의 전극들(153)(4개가 도시됨)을 포함할 수 있다. 전극들(153)은 척킹 전력 소스(160)(도 1에 도시됨)에 커플링되어 정전 척(229)의 상부 표면에 대한 기판(109)의 척킹을 가능하게 한다. 프로세싱 동안 기판(109)의 온도 제어를 가능하게 하기 위해 하나 이상의 가열 또는 냉각 채널들이 절연 재료(236)에 선택적으로 형성될 수 있다. 일부 양상들에서, 전극들(153)은, 매칭 네트워크(120)를 통해 바이어스 소스(119)(도 1에 도시됨)에 커플링되는 캐소드(cathode)들이다.
[0034] 제1 에지 링(242)은 정전 척(229) 상에 포지셔닝된다. 제1 에지 링(242)은, 기판(109)의 반경방향-외측 에지들을 둘러싸고 그에 접한다. 제1 에지 링(242)은, 프로세싱 동안 기판(109)의 에지들의 보호를 가능하게 하고, 부가적으로, 프로세싱 동안 기판(109)에 대한 측방향 지지를 제공한다. 제1 에지 링(242)은, 프로세싱 동안 기판(109)에 대해 고정식(stationary)일 수 있다.
[0035] 제2 에지 링(244)은, 제1 에지 링(242)의 반경방향 외측에서 그 위에 포지셔닝된다. 제2 에지 링(244)의 반경방향 외측 에지(202)뿐만 아니라 제2 에지 링(244)의 최하부 표면(204)은 커버 링(246)과 접촉한다. 제2 에지 링(244)은, 제1 에지 링(242) 및 기판(109)에 대해 동심으로 포지셔닝된다. 제2 에지 링(244)은, 기판(109)에 측방향 지지를 제공하고 그리고 기판(109)의 반경방향 외측 에지들에서의 바람직하지 않은 재료 에칭 또는 재료 증착을 감소시키는 데 있어 제1 에지 링(242)을 보조한다.
[0036] 기판 지지 조립체(111)는 또한, 다른 것들 중에서도, 하나 이상의 액추에이터들(247)(하나가 도시됨), 이를테면 스텝퍼(stepper) 모터 또는 선형 액추에이터를 포함할 수 있다. 일 예에서, 하나 이상의 액추에이터들(247)은 접지 플레이트(226) 내에 배치된다. 그러나, 액추에이터(247)는 기판 지지 조립체(111)의 외부에 포지셔닝될 수 있는 것으로 고려된다. 각각의 액추에이터(247)는, 하나 이상의 푸시 핀들(248)과 맞물리거나 그와 인터페이싱(interface)하도록 적응된다. 하나 이상의 푸시 핀들(248)은, 접지 플레이트(226)로부터 설비 플레이트(228) 및 슬리브(230)를 통해 연장되어 커버 링(246)과 접촉한다. 하나 이상의 푸시 핀들(248)의 액추에이션(actuation)은, 기판(109)의 상부 표면 및/또는 제1 에지 링(242)에 대한 커버 링(246) 및 제2 에지 링(244)의 수직 액추에이션 또는 변위를 초래한다. 일부 양상들에서 제1 에지 링(242)은 생략될 수 있는 것으로 고려된다. 제2 에지 링(244)의 포지션은, 프로세싱 동안 기판 표면에 걸친 플라즈마 균일성을 증가시키기 위해, 제2 에지 링(244)의 침식을 수용하는 높이로 조절될 수 있다.
[0037] 프로세스 챔버(100)(도 1에 도시됨) 내의 입자 오염을 감소시키기 위해, 하나 이상의 푸시 핀들(248) 각각 주위에 하나 이상의 벨로우즈(bellows)(도 7에 도시됨)가 포지셔닝될 수 있다. 부가적으로, 하나 이상의 푸시 핀 가이드(guide)들(239), 이를테면, 가이드 슬리브 또는 베어링(bearing)이 각각의 푸시 핀(248) 주위에서 슬리브(230) 내에 포지셔닝되어 각각의 푸시 핀(248)의 액추에이션을 가능하게 할 수 있다. 푸시 핀 가이드들(239)은 푸시 핀들(248)에 대한 베어링 표면들을 제공한다. 일 예에서, 하나 이상의 액추에이터들(247), 하나 이상의 푸시 핀들(248), 커버 링(246), 및 제2 에지 링(244)은 높이-조절가능 에지 링 조립체(249)로 지칭될 수 있다. 일 예에서, 에지 링 조립체(249)는 추가로 제어기(155)(도 1에 도시됨)와 인터페이싱할 수 있고 그리고 제어기(155)에 의해 동작가능하게 제어될 수 있다. 다른 예에서, 에지 링 조립체(249)에서 커버 링(246)이 생략될 수 있다. 그러한 예에서, 하나 이상의 푸시 핀들(248)이 제2 에지 링(244)과 직접 접촉하여 제2 에지 링(244)을 액추에이팅(actuate)할 수 있다.
[0038] 일 예에서, 제1 에지 링(242)은 실리콘으로 제조될 수 있다. 일 예에서, 제2 에지 링(244)은 실리콘으로 제조될 수 있다. 특정 예에서, 제2 에지 링(244)은 실리콘 카바이드(SiC)로 제조될 수 있다. 일 예에서, 하나 이상의 액추에이터들(247)은 마이크로-스텝퍼 모터들이다. 다른 예에서, 하나 이상의 액추에이터들(247)은 압전(piezo-electric) 모터들이다. 일 예에서, 하나 이상의 푸시 핀들(248)은 석영 또는 사파이어로 제조된다. 일 예에서, 제어기는, 소프트웨어를 저장하기 위한 메모리를 포함하는 범용 컴퓨터일 수 있다. 소프트웨어는, 제2 에지 링(244)의 침식을 검출한 다음 하나 이상의 푸시 핀들(248)을 상승시키도록 하나 이상의 액추에이터들(247)에 지시함으로써 제2 에지 링(244)이 원하는 높이로 상승되게 하기 위한 명령들을 포함할 수 있다.
[0039] 도 3은 다른 예에 따른 기판 지지 조립체(311)의 개략적인 부분 확대도이다. 기판 지지 조립체(111)와 유사하게, 기판 지지 조립체(311)는, 프로세스 키트(304), 기판 지지부(306), 및 정전 척(303)을 포함한다. 정전 척(303)은, 기판 지지부(306)의 최상부 표면 상에 배치되고 그리고 프로세스 키트(304)에 의해 둘러싸인다. 기판 지지부(306)는, 접지 플레이트(226), 절연 플레이트(227), 설비 플레이트(228), 및 슬리브(305)를 포함한다.
[0040] 프로세스 키트(304)는, 제1 에지 링(342), 제2 에지 링(344), 및 커버 링(346)을 포함한다. 제1 에지 링(342)은, 기판(109)의 반경방향 외측 에지들에 인접하게 포지셔닝되어 기판(109)의 에지에서의 바람직하지 않은 프로세싱 효과들을 감소시킨다. 제2 에지 링(344)은, 제1 에지 링(342)의 반경방향 외측에서 그 위에 포지셔닝된다. 제2 에지 링(344)은, 커버 링(346)의 반경방향 내측에서 그 위에 포지셔닝될 수 있다. 최하부 포지션에서, 제2 에지 링(344)은, 제1 에지 링(342), 슬리브(230), 및 커버 링(346) 중 하나 이상과 접촉하는 하부 표면(302)을 가질 수 있다. 최하부 포지션에서, 제2 에지 링(344)은, 동일 평면 상의 상부 표면을 커버 링(346)과 공유할 수 있다. 기판 지지 조립체(311)는 기판 지지 조립체(111)와 유사할 수 있지만, 하나 이상의 푸시 핀들(248)이 제2 에지 링(344)과 접촉하도록 포지셔닝된다. 제2 에지 링(344)은, 제2 에지 링(244)과 동일한 재료로 제조될 수 있다. 하나 이상의 푸시 핀들(248)은, 커버 링(346)의 액추에이션을 통해 간접적으로가 아니라 제2 에지 링(344)을 직접 액추에이팅한다. 그러한 예에서, 커버 링(346)은, 제2 에지 링(344)의 높이 조절 동안 정지상태로 유지된다. 기판 지지 조립체(311)는, 기판 지지 조립체(111) 대신 사용될 수 있다.
[0041] 기판 지지 조립체(311)는, 하나 이상의 액추에이터들(247), 하나 이상의 푸시 핀들(248), 및 제2 에지 링(344)을 포함하는 높이-조절가능 에지 링 조립체(349)를 포함한다. 에지 링 조립체(349)는 에지 링 조립체(249)와 유사할 수 있지만, 에지 링 조립체(349)의 하나 이상의 푸시 핀들(248)은 접지 플레이트(226)의 수직 벽들을 통해 그리고 커버 링(346)을 통해 포지셔닝된다. 따라서, 에지 링 조립체(349)의 푸시 핀들(248)은 절연 플레이트(227) 및 슬리브(230)를 통해 이동하지 않으며, 이에 의해, 절연 플레이트(227) 및 슬리브(230)를 통해 형성되는 보어(bore)들이 제거된다. 더욱이, 에지 링 조립체(349)가 제2 에지 링(344)을 액추에이팅하고 커버 링(346)이 정지상태로 유지되게 하기 때문에, 기판 지지 조립체(311)는 감소된 수의 이동식 부품들에 기인하여 입자 생성을 감소시킬 수 있다. 제1 에지 링(342)은, 제1 에지 링(242)과 동일한 재료로 제조될 수 있다.
[0042] 도 4는 다른 예에 따른 기판 지지 조립체(411)의 개략적인 부분 확대도이다. 기판 지지 조립체(411)는, 기판 지지 조립체(311)와 유사할 수 있고 그리고 그 대신 사용될 수 있다. 기판 지지 조립체(411)는, 프로세스 키트(414), 기판 지지부(416), 및 정전 척(303)을 포함한다. 정전 척(303)은, 기판 지지부(416)의 최상부 표면 상에 배치되고 그리고 프로세스 키트(414)에 의해 둘러싸인다. 기판 지지부(416)는, 접지 플레이트(226), 절연 플레이트(227), 설비 플레이트(228), 및 슬리브(418)를 포함한다. 프로세스 키트(414)는, 제1 에지 링(442), 제2 에지 링(444), 및 커버 링(446)을 포함한다. 제1 에지 링(442)은, 정전 척(303)의 반경방향 외측 상부 표면(402) 상에 포지셔닝된다. 제2 에지 링(444)은, 제1 에지 링(442)의 반경방향 외측에서 상방에 포지셔닝된다. 제2 에지 링(444)의 하부 표면(404)은, 제1 에지 링(442)의 표면(406) 및 슬리브(418)의 제1 부분의 상부 표면(408)과 접촉하게 포지셔닝될 수 있다. 커버 링(446)은, 제2 에지 링(444)의 반경방향 외측에서 슬리브(418)의 제2 부분의 상부 표면(410)뿐만 아니라 접지 플레이트(226)의 수직 부분의 상부 표면(412)과 접촉하게 포지셔닝된다.
[0043] 기판 지지 조립체(411)는 높이-조절가능 에지 링 조립체(449)를 포함한다. 에지 링 조립체(449)는, 하나 이상의 액추에이터들(247), 하나 이상의 푸시 핀들(248), 및 제2 에지 링(444)을 포함한다. 하나 이상의 액추에이터들(247)은, 하나 이상의 푸시 핀들(248)을 액추에이팅하여, 기판(109)의 상부 표면에 대해, 그리고 그 뿐만 아니라 제1 에지 링(442) 및 커버 링(446) 대해 제2 에지 링(444)을 상승시킨다. 기판 지지 조립체(311)와 유사하게, 제2 에지 링(444)이 상승되는 동안 커버 링(446)은 정지상태로 유지된다. 감소된 수의 이동식 컴포넌트들에 기인하여, 프로세싱 동안 입자 생성의 가능성이 감소된다. 그러나, 기판 지지 조립체(311)와는 달리, 기판 지지 조립체(411)의 푸시 핀들(248)은 절연 플레이트(227) 및 슬리브(418)를 통해 배치된다. 하나 이상의 푸시 핀들(248)은, 액추에이터(247)로부터 제2 에지 링(444)으로 이동을 전달하기 위해, 제2 에지 링(444)의 하부 표면(404)과 접촉한다. 일 예에서, 제1 에지 링(442)은 실리콘으로 제조될 수 있다. 일 예에서, 제2 에지 링(444)은 실리콘으로 제조될 수 있다. 특정 예에서, 제2 에지 링(444)은 실리콘 카바이드(SiC)로 제조될 수 있다.
[0044] 도 5는 본원에 설명된 예들에 따른 방법(550)의 흐름도이다. 도 6a-6c는, 본원에 설명된 방법(550)의 다양한 스테이지들에서의, 기판 지지 조립체(660)의 일부분에서 기판 표면에 걸친 플라즈마 균일성을 도시한다. 도 5 및 도 6a-6c는, 높이-조절가능 에지 링(이를테면, 제2 에지 링들(244, 344, 444))의 높이를 조정하여 에지 링의 침식을 보상하기 위한 프로세스들을 추가적으로 설명하기 위해 함께 논의될 것이다. 방법은, 제어기, 이를테면 제어기(155)에 의해 저장 및 실행될 수 있다.
[0045] 방법(550)은 동작(552)에서 시작된다. 동작(552)에서, 제1 개수의 기판들이 프로세싱된다. 제1 개수의 기판들을 프로세싱하는 동안, 도 6a에 도시된 바와 같이, 에지 링(644)의 최상부 표면(602)은 기판(109)의 최상부 표면(604)과 동일 평면 상에 있다. 에지 링(644)은 제2 에지 링(244, 344, 444)일 수 있다. 에지 링(644)의 최상부 표면(602) 및 기판(109)의 최상부 표면(604)이 동일 평면 상에 있을 때, 플라즈마는, 플라즈마 시스(sheath)(662)가 기판(109)의 최상부 표면(604)과 평행하게 이어지도록 기판(109) 위에 균일하게 분포된다.
[0046] 제1 개수의 기판들을 프로세싱한 후에, 에지 링(644)은 도 6b에 도시된 바와 같이 침식될 수 있다. 에지 링(644)이 침식됨에 따라, 에지 링(644)의 총 두께가 감소되고, 에지 링(644)의 최상부 표면(602)은 더 이상 기판(109)의 최상부 표면(604)과 동일 평면 상에 있지 않다. 대신, 에지 링(644)의 최상부 표면(602)은 기판(109)의 최상부 표면(604) 아래에 있다. 에지 링(644)의 최상부 표면(602)이 기판(109)의 최상부 표면(604)과 동일 평면 상에 있지 않을 때, 플라즈마는 기판(109)의 최상부 표면(604)에 걸쳐 불균일하게 분포되게 된다. 더 구체적으로, 에지 링(644)의 최상부 표면(602)이 기판(109)의 최상부 표면(604) 아래에 있을 때, 플라즈마 시스(662)에 의해 도시된 바와 같이, 기판(109)의 에지(606)에서 플라즈마 "롤 오프(roll off)"가 존재한다. 다시 말해서, 플라즈마 시스(662)는 더 이상 기판(109)의 최상부 표면(604)과 평행하지 않다. 기판 에지(606)에서의 이러한 플라즈마 불균일성은 불균일한 프로세스 조건들을 야기하며, 이는, 디바이스들이 위에 형성될 수 있는 기판(109)의 프로세스 수율을 감소시킨다.
[0047] 따라서, 동작(554)에서, 에지 링(644)은, 에지 링(644)의 제1 양의 침식에 기반하여, 에지 링(642) 위의 제1 포지션으로부터 에지 링(642) 위의 제2 포지션으로 상승된다. 에지 링(642)은 제1 에지 링(242, 342, 442)일 수 있다. 에지 링(644)은 제2 에지 링(244, 344, 444)일 수 있다. 에지 링(644)은, 도 6c에 도시된 바와 같이, 선형 플라즈마 시스(662)를 유지하도록(즉, 플라즈마 시스(662)를 기판(109)의 최상부 표면(604)과 평행하게 유지하도록) 상승된다. 일 예에서, 에지 링(644)은, 침식된 상태에서의 에지 링(644)의 최상부 표면(602)이 기판(109)의 최상부 표면(604)과 실질적으로 동일 평면 상에 있도록 하는 포지션으로 상승될 수 있다. 에지 링(644)이 조절될 수 있는 높이는, 제어기, 이를테면 도 1에 도시된 제어기(155)를 사용하여 결정될 수 있다. 제어기는, 에지 링(644)의 제1 양의 침식을 검출하는 데 사용될 수 있다. 그런 다음, 제어기는, 제1 양의 침식을 보상하기 위해 하나 이상의 푸시 핀들을 통해 에지 링(644)의 높이를 상승시키도록 하나 이상의 액추에이터들(247)에 지시할 수 있다. 제1 포지션과 제2 포지션 사이의 거리는 약 0.05 밀리미터 내지 약 5 밀리미터일 수 있다.
[0048] 대안적으로, 에지 링(644)에 대한 침식량을 검출하는 대신, 에지 링(644)은, 경험적으로 결정된 개수의 기판들이 프로세싱된 이후에 조절될 수 있다. 대안적으로, 에지 링(644)은, 플라즈마 시스 변형의 측정에 대한 응답으로 조절될 수 있다.
[0049] 동작(556)에서, 에지 링(644)을 조절된 포지션에 유지하면서 제2 개수의 기판들이 프로세싱된다. 조절된 포지션에 있는 동안, 에지 링(644)은, 기판(109)의 최상부 표면(604)과 동일 평면 배향으로 플라즈마 시스(662)를 포지셔닝한다. 제2 개수의 기판들을 프로세싱한 후에, 방법(550)은, 에지 링(644)의 제2 양의 침식을 검출하는 단계, 및 에지 링(644)을 제2 포지션으로부터 제3 포지션으로 상승시키는 단계를 더 포함할 수 있다. 제2 포지션과 제3 포지션 사이의 거리는 약 0.05 밀리미터 내지 약 5 밀리미터일 수 있다. 방법(550)의 동작들은, 더 많은 기판들이 프로세싱되고 에지 링(644)의 추가적인 침식이 발생함에 따라 반복될 수 있다.
[0050] 도 7은 본 개시내용의 다른 예에 따른 기판 지지 조립체(700)의 개략적인 횡단면 측면도이다. 기판 지지 조립체(700)는, 도 1에 도시된 기판 지지 조립체(111)일 수 있다. 기판 지지 조립체(700)는, 프로세스 키트(703), 기판 지지부(705), 정전 척(712), 캐소드 라이너(liner)(726), 및 차폐부(728)를 포함한다. 정전 척(712)은, 기판 지지부(705)의 최상부 표면 상에 배치되고 그리고 프로세스 키트(703)에 의해 둘러싸인다. 기판 지지부(705)는, 베이스(702), 베이스(702) 상에 배치되는 접지 플레이트(704), 접지 플레이트(704) 상에 배치되는 절연 플레이트(706), 절연 플레이트(706) 상에 배치되는 설비 플레이트(708), 설비 플레이트(708) 상에 배치되는 냉각 플레이트(710), 및 설비 플레이트(708), 냉각 플레이트(710), 및 정전 척(712)을 둘러싸며 절연 플레이트(706) 상에 배치되는 슬리브(724)를 포함할 수 있다. 슬리브(724)는 석영으로 제조될 수 있다. 정전 척(712)은, 본딩(bonding) 재료로 냉각 플레이트(710)에 본딩될 수 있다. 정전 척(712) 내에 복수의 전극들(714)이 매립될 수 있다. 정전 척(712)은, 기판을 지지하기 위한 제1 표면(718)을 갖는 제1 부분(716), 및 제1 부분(716)으로부터 반경방향 외측으로 연장되는 제2 부분(720)을 포함할 수 있다. 제2 부분(720)은 제2 표면(722)을 포함할 수 있다.
[0051] 프로세스 키트(703)는, 지지 링(730), 에지 링(732), 및 커버 링(734)을 포함한다. 지지 링(730)은 정전 척(712)의 제2 부분(720)의 제2 표면(722) 상에 배치되고 그리고 지지 링(730)은 정전 척(712)의 제1 부분(716)을 둘러싼다. 지지 링(730)은 실리콘 또는 SiC로 제조될 수 있다. 지지 링(730)은, 정전 척(712)의 제1 부분(716)에 대해 동심으로 포지셔닝될 수 있다. 지지 링(730)은, 정전 척(712)의 제1 부분(716)의 반경보다 큰 100 미크론 미만의 내측 반경을 가질 수 있다. 에지 링(732)은 지지 링(730) 상에 배치될 수 있고 그리고 에지 링(732)은 실리콘, SiC, 또는 다른 적절한 재료로 제조될 수 있다. 에지 링(732)은, 정전 척(712)의 제1 부분(716)에 대해 동심으로 포지셔닝될 수 있다. 커버 링(734)은 슬리브(724) 상에 배치될 수 있고 그리고 커버 링(734)은 에지 링(732) 및 지지 링(730)을 둘러쌀 수 있다.
[0052] 기판 지지 조립체(700)는, 하나 이상의 액추에이터들(736)(하나가 도시됨)(이를테면, 스텝퍼 모터), 하나 이상의 핀 홀더(pin holder)들(737)(하나가 도시됨), 하나 이상의 벨로우즈(735)(하나가 도시됨), 및 하나 이상의 푸시 핀들(733)(하나가 도시됨)을 더 포함한다. 푸시 핀들(733)은, 석영, 사파이어, 또는 다른 적절한 재료로 제조될 수 있다. 각각의 핀 홀더(737)는 대응하는 액추에이터(736)에 커플링되고, 각각의 벨로우즈(735)는 대응하는 핀 홀더(737)를 둘러싸고, 그리고 각각의 푸시 핀(733)은 대응하는 핀 홀더(737)에 의해 지지된다. 각각의 푸시 핀(733)은, 접지 플레이트(704), 절연 플레이트(706), 및 슬리브(724) 각각에 형성된 개구를 통해 포지셔닝된다. 하나 이상의 푸시 핀 가이드들, 이를테면, 도 2b에 도시된 푸시 핀 가이드들(239)이 접지 플레이트(704), 절연 플레이트(706), 및/또는 슬리브(724)의 개구들 주위에 포지셔닝될 수 있다. 하나 이상의 액추에이터들(736)은, 하나 이상의 핀 홀더들(737) 및 하나 이상의 푸시 핀들(733)을 상승시킬 수 있고, 이는 결국, 에지 링(732)을 상승시키거나 기울어지게 한다.
[0053] 도 8a-8b는 본 개시내용의 예들에 따른 기판 지지 조립체(700)의 개략적인 횡단면 부분 측면도들이다. 도 8a에 도시된 바와 같이, 푸시 핀(733)은 슬리브(724)의 개구(812)를 통해 포지셔닝되며, 지지 링(730)에 형성된 개구(806)를 통해 에지 링(732)과 접촉한다. 에지 링(732)은, 제1 표면(814), 및 제1 표면(814)에 대향하는 제2 표면(816)을 갖는다. 하나 이상의 캐비티(cavity)들(808)(하나가 도시됨)이 에지 링(732)의 제2 표면(816)에 형성될 수 있다. 지지 링(730)은, 에지 링(732)을 지지하기 위한 제1 표면(813), 및 제1 표면(813)에 대향하는 제2 표면(815)을 포함할 수 있다. 제2 표면(815)은, 정전 척(712)의 제2 부분(720)의 제2 표면(722)과 접촉할 수 있다. 각각의 푸시 핀(733)은 에지 링(732)의 대응하는 캐비티(808)에 포지셔닝되는 챔퍼형 팁(chamfered tip)(810)을 포함할 수 있고, 챔퍼형 팁(810)은 수평 방향 또는 반경 방향으로의 에지 링(732)의 이동을 제한할 수 있다. 게다가, 개구(806) 내부에서의 각각의 푸시 핀(733)의 반경방향 간극이 매우 작으므로(이를테면 0.0001 인치 내지 0.0010 인치, 예컨대, 약 0.0005 인치), 지지 링(730)의 수평 방향 또는 반경 방향으로의 이동이 푸시 핀들(733)에 의해 제한된다. 슬리브(724)의 개구(812) 내부에서의 각각의 푸시 핀(733)의 반경방향 간극은 개구(806) 내부에서의 푸시 핀(733)의 반경방향 간극과 유사할 수 있다. 수평 방향 또는 반경 방향으로의 에지 링(732)의 이동을 추가적으로 제한하기 위해, 지지 링(730)은, 정전 척(712)의 제1 부분(716)에 인접한 내측 에지(804)를 포함할 수 있다. 내측 에지(804)는, 지지 링(730)의 나머지보다 큰 두께를 가질 수 있다. 다시 말해서, 내측 에지(804)는, 지지 링(730)의 제1 표면(813)보다 높은 높이에 있는 표면(818)을 포함한다. 에지 링(732)은 지지 링(730)의 제1 표면(813) 상에 포지셔닝될 수 있고, 에지 링(732)의 내측 표면(820)은 지지 링(730)의 내측 에지(804)와 접촉할 수 있다. 따라서, 에지 링(732)은, 지지 링(730)에 대해 수평 방향 또는 반경 방향으로 시프팅(shift)하는 것이 방지된다.
[0054] 프로세스 챔버(100) 내부에서 특정 개수의 기판들을 프로세싱한 후에, 에지 링(732)은 침식될 수 있고, 제1 표면(814)은, 정전 척(712)의 제1 부분(716) 상에 배치되는 기판(이를테면, 기판(802))의 프로세싱 표면과 동일 평면 상에 있지 않다. 에지 링(732)은, 에지 링(732)의 제1 표면(814)이 정전 척(712)의 제1 부분(716) 상에 배치되는 기판(802)의 프로세싱 표면과 동일 평면 상에 있게 하기 위해, 하나 이상의 푸시 핀들(733)(이를테면, 3개의 푸시 핀들(733))에 의해 리프팅(lift)될 수 있다. 따라서, 에지 링(732)은, 프로세싱 동안 하나 이상의 푸시 핀들(733)에 의해 지지될 수 있다. 개구들(806, 812) 내부에서의 각각의 푸시 핀(733)의 반경방향 간극이 작으므로, 에지 링(732)이 하나 이상의 푸시 핀들(733)에 의해 지지됨에 따라 수평 방향 또는 반경 방향으로의 에지 링(732)의 이동이 제한된다. 수평 방향 또는 반경 방향으로의 에지 링(732)의 이동이 제한되기 때문에, 에지 링(732)은, 정전 척(712)의 제1 부분(716)에 대해 동심으로 일관되게 포지셔닝된다. 기판(802)이 정전 척(712)의 제1 부분(716)에 대해 동심으로 포지셔닝되므로, 에지 링(732)이 지지 링(730)에 의해 또는 하나 이상의 푸시 핀들(733)에 의해 지지될 때, 에지 링(732)이 또한 기판(802)에 대해 동심으로 일관되게 포지셔닝된다. 에지 링(732)이 기판(802)에 대해 동심으로 일관되게 포지셔닝되는 것 및 에지 링(732)의 제1 표면(814)이 기판(802)의 프로세싱 표면과 동일 평면 상에 있는 것은, 프로세싱 동안 기판의 프로세싱 표면에 걸친 플라즈마 균일성을 개선한다.
[0055] 때때로, 기판은 기판의 에지 근처에서 방위각 불균일성을 겪을 수 있다. 방위각 에지 프로세스 결과들을 조절하기 위해, 에지 링(732)은, 하나 이상의 액추에이터들(736)에 의해 하나 이상의 푸시 핀들(733)을 통해 기울어질 수 있다. 하나 이상의 액추에이터들(736)은 하나 이상의 푸시 핀들(733)을 상이한 높이들로 상승시킬 수 있고, 에지 링(732)은 기판(802)의 프로세싱 표면에 대해 기울어진다. 에지 링(732)을 기울어지게 함으로써, 즉, 에지 링(732)으로 하여금 기판(802)의 프로세싱 표면과 비-동일 평면 상에 있게 함으로써, 기판 에지 근처의 특정 위치에서의 플라즈마 시스 및/또는 화학적 반응(chemistry)이 변경되고, 기판 에지 근처의 방위각 불균일성이 감소된다.
[0056] 에지 링(732)이 기판(802)의 프로세싱 표면과 동일 평면 상에 있는 동안 에지 링(732)을 리프팅하기 위해, 하나 이상의 액추에이터들(736)이 교정될 수 있어서, 하나 이상의 푸시 핀들(733)이 액추에이터들(736)에 의해 동일한 높이로 상승된다. 액추에이터들(736)을 교정하는 하나의 방법은, 액추에이터들(736)을 교정하는 사람이, 각각의 푸시 핀(733)이 정전 척(712)의 제1 부분(716)의 제1 표면(718) 약간 위에 있다고 느낄 때까지, 각각의 푸시 핀(733)을 느리게 상승시키는 것이다. 액추에이터들(736)을 교정하는 다른 방법은, 에지 링(732)에 대한 푸시 핀들(733)의 접촉을 청취하기 위해 음향 센서를 사용하는 것, 접촉을 감지하기 위해 에지 링(732)에 대해 가속도계를 사용하는 것, 또는 접촉을 감지하기 위해 서보(servo) 포지션 피드백(후속하는 에러 또는 서보 토크)을 살피는 것이다.
[0057] 에지 링(732)을 상승시킬 수 있는 것의 다른 이점은, 프로세스 챔버를 통기시키고 개방하지 않고도 진공 로봇 블레이드(robot blade)(도시되지 않음)가 에지 링(732) 아래의 슬릿 밸브를 통해 프로세스 챔버에 진입하여 프로세스 챔버로부터 에지 링(732)을 제거할 수 있도록 하는 충분히 높은 높이로 에지 링(732)이 상승될 수 있다는 것이다. 에지 링(732)은, 다수의 기판들이 프로세스 챔버로부터 제거된 후에, 진공 로봇에 의해 프로세스 챔버로부터 제거될 수 있다. 새로운 에지 링(732)이 진공 로봇에 의해 프로세스 챔버에 배치될 수 있다. 새로운 에지 링(732)은, 특정 프로세스의 결과들을 최적화하기 위해, 상이한 재료로 제조될 수 있거나 상이한 형상을 가질 수 있다. 게다가, 프로세스 챔버를 통기시키고 개방하지 않고도 에지 링(732)을 프로세스 챔버 안팎으로 이송하는 능력은, 비용이 많이 들고 생산성 손실을 초래하는 습식 세정 사이클들 사이에 프로세스 챔버가 더 오래 작동하는 것을 가능하게 한다.
[0058] 에지 링(732)을 제거하기 위한 예시적인 프로세스 시퀀스는, 하나 이상의 푸시 핀들(733)에 의해 기판 이송 평면 위의 일정 높이로 에지 링(732)을 리프팅하는 것으로 시작하여, 진공 로봇 블레이드를 에지 링(732) 아래의 위치에서 프로세스 챔버 내로 연장시키고, 하나 이상의 푸시 핀들(733)에 의해 진공 로봇 블레이드 상으로 에지 링(732)을 하강시키고, 상부에 에지 링(732)이 배치된 진공 로봇 블레이드를 프로세스 챔버 밖으로 그리고 로드록(loadlock) 챔버(도시되지 않음) 내로 이동시키고, 로드록 챔버 리프트(도시되지 않음)를 상승시키거나 진공 로봇 블레이드를 하강시킴으로써 진공 로봇 블레이드로부터 에지 링(732)을 떼어 내고(pick off), 로드록 챔버로부터 에지 링(732)을 제거하기 위해 팩토리 인터페이스(factory interface) 로봇(도시되지 않음)을 사용하여 로드록 챔버를 통기시키고, 그리고 에지 링(732)을 저장 위치(저장 위치는, 상이한 에지 링들 또는 유사한 에지 링들을 홀딩하는 다수의 위치들을 가질 수 있음)에 들여놓는다.
[0059] 도 8b는 본 개시내용의 다른 예에 따른 기판 지지 조립체(800)의 개략적인 횡단면 부분 측면도이다. 도 8b에 도시된 바와 같이, 기판 지지 조립체(800)는, 프로세스 키트(801), 기판 지지부(705), 및 정전 척(803)을 포함한다. 프로세스 키트(801)는 정전 척(803)을 둘러쌀 수 있다. 정전 척(803)은, 제1 부분(805), 제1 부분(805)으로부터 반경방향 외측으로 연장되는 제2 부분(807), 및 제2 부분(807)으로부터 반경방향 외측으로 연장되는 제3 부분(830)을 포함할 수 있다. 제2 부분(807)은 표면(809)을 갖고, 제3 부분(830)은 표면(832)을 갖는다. 프로세스 키트(801)는, 커버 링(840), 지지 링(850), 및 에지 링(852)을 포함한다. 슬리브(724)는 하나 이상의 캐비티들(844)을 포함할 수 있고, 커버 링(840)은 하나 이상의 돌기(protrusion)들(842)을 포함할 수 있다. 지지 링(850)은 정전 척(803)의 제3 부분(830)의 표면(832) 상에 배치될 수 있고, 지지 링(850)과 슬리브(724) 사이에 갭(gap)(811)이 형성될 수 있다. 지지 링(850)은, 슬리브(724)의 표면(843) 위에 배치될 수 있다. 지지 링(850)은, 지지 링(730)과 동일한 재료로 제조될 수 있다. 하나 이상의 개구들(860)이 지지 링(850)에 형성될 수 있고, 하나 이상의 푸시 핀들(733)이 개구들(860)을 통해 배치될 수 있다. 에지 링(852)은, 정전 척(803)의 제2 부분(807)의 제2 표면(809) 상에 배치될 수 있다. 에지 링(852)은, 지지 링(850)과 독립적으로 조절가능할 수 있다. 에지 링(852)은, 에지 링(732)과 동일한 재료로 제조될 수 있다. 에지 링(852)은, 하나 이상의 푸시 핀들(733)의 챔퍼형 팁들(810)과 맞물리기 위한 하나 이상의 캐비티들(854)을 포함할 수 있다. 챔퍼형 팁들(810)은, 수평 방향 또는 반경 방향으로의 에지 링(852)의 이동을 제한할 수 있다.
[0060] 지지 링(850)은, 커버 링(840)의 하나 이상의 돌기들(842)과 정전 척(803)의 제2 부분(807) 사이에 타이트하게(tightly) 피팅(fit)될 수 있다. 커버 링(840)은, 최상부 표면(862), 최상부 표면(862)에 대향하는 제1 표면(864), 최상부 표면(862)에 대향하는 제2 표면(866), 최상부 표면(862)에 대향하는 제3 표면(868), 및 최상부 표면(862)에 대향하는 제4 표면(870)을 포함할 수 있다. 제1 표면(864)은 지지 링(850)과 접촉하고 그에 의해 지지될 수 있는 한편, 표면들(866, 868)과 슬리브(724) 사이에 그리고 표면(870)과 차폐부(728) 사이에 갭이 형성된다. 커버 링(840)은, 표면들(866, 868)을 연결하는 제5 표면(872), 및 표면들(864, 866)을 연결하는 제6 표면(874)을 더 포함할 수 있다. 슬리브(724)의 하나 이상의 캐비티들의 각각의 캐비티(844)는, 제1 표면(876), 및 제1 표면(876)에 대향하는 제2 표면(878)을 포함할 수 있다. 제1 표면(876)과 제5 표면(872) 사이에 그리고 제2 표면(878)과 제6 표면(874) 사이에 형성되는 갭들은 작을 수 있는데(이를테면, 0.01 인치 또는 그 미만), 이는, 수평 방향 또는 반경 방향으로의 커버 링(840)의 이동을 제한한다. 지지 링(850)이 커버 링(840)의 제6 표면(874)과 정전 척(803)의 제2 부분(807) 사이에 타이트하게 피팅되므로, 수평 방향 또는 반경 방향으로의 지지 링(850)의 이동이 또한 제한된다. 에지 링(852)은, 에지 링(852)이 정전 척(803)의 제2 표면(809)에 의해 지지되거나 하나 이상의 푸시 핀들(733)에 의해 지지될 때, 기판(도시되지 않음)에 대해 동심으로 일관되게 포지셔닝될 수 있다. 에지 링(852)은, 에지 링(732)을 제거하는 것과 동일한 방법에 의해 프로세스 챔버로부터 제거될 수 있다.
[0061] 도 9는 본 개시내용의 일 예에 따른, 도 7의 기판 지지 조립체(700)의 개략적인 평면도이다. 도 9에 도시된 바와 같이, 기판 지지 조립체(700)는, 커버 링(734)(또는 840)에 의해 둘러싸이는 에지 링(732)(또는 852)에 의해 둘러싸이는, 표면(718)이 있는 제1 부분(716)을 갖는 정전 척(712)을 포함한다. 차폐부(728)는 슬리브(724)를 둘러싼다(도 8a 및 도 8b). 에지 링(732)(또는 852)은, 위치들(902)에 있는 하나 이상의 푸시 핀들(733)(도 8a-8b)에 의해 상승될 수 있다. 일 예에서, 에지 링(732)(또는 852)을 상승시키기 위한 3개의 푸시 핀들(733)이 3개의 위치들(902)에 존재한다. 위치들(902) 또는 푸시 핀들(733)은, 도 9에 도시된 바와 같이, 120 도 이격될 수 있고 그리고 에지 링(732)(또는 852) 상에서 동일한 반경방향 거리를 가질 수 있다. 에지 링(732)(또는 852)은, 외측 에지(904) 및 내측 에지(906)를 가질 수 있다. 내측 에지(906)는, 제1 부분(907) 및 제2 부분(908)을 포함할 수 있다. 외측 에지(904)는 실질적으로 원형일 수 있다. 내측 에지(906)의 제1 부분(907)은, 실질적으로 원형일 수 있고 그리고 외측 에지(904)와 실질적으로 평행할 수 있다. 내측 에지(906)의 제2 부분(908)은, 실질적으로 선형일 수 있고 그리고 외측 에지(904)와 실질적으로 평행하지 않을 수 있다. 제2 부분(908)은, 정전 척(712)과 로킹(lock)하기 위해 정전 척(712) 상의 선형 섹션(910)을 따를 수 있다.
[0062] 도 10a는 본 개시내용의 일 예에 따른 에지 링(732)(또는 852)의 개략적인 평면도이다. 도 10a에 도시된 바와 같이, 에지 링(732)(또는 852)은, 외측 에지(904), 내측 에지(906), 및 제2 부분(908)을 포함한다. 외측 에지(904)와 내측 에지(906) 사이의 거리(즉, 폭)는, 상이한 프로세스들 또는 프로세스 화학적 반응들을 최적화하기 위해 변할 수 있다. 에지 링(732)(또는 852)의 반경은 또한, 정전 척의 반경에 따라 변할 수 있다.
[0063] 도 10b는 본 개시내용의 일 예에 따른, 도 10a의 에지 링(732)(또는 852)의 일부분의 개략적인 측면도이다. 도 10b에 도시된 바와 같이, 에지 링(732)(또는 852)은, 하나 이상의 푸시 핀들(733)의 챔퍼형 팁(810)과 맞물리기 위한 하나 이상의 캐비티들(808)(또는 854)을 포함한다. 캐비티들(808)(또는 854)은 임의의 적절한 형상을 가질 수 있다. 일 예에서, 각각의 캐비티(808)(또는 854)는, 도 10b에 도시된 바와 같이, 테이퍼형(tapered) V 형상을 갖는다.
[0064] 도 11a는 본 개시내용의 일 예에 따른 지지 링(850)의 개략적인 평면도이다. 도 11a에 도시된 바와 같이, 지지 링(850)(또는 도 8a에 도시된 730)은, 외측 에지(1102), 내측 에지(1104), 및 하나 이상의 개구들(860)(또는 도 8a에 도시된 806)을 포함한다. 일 예에서, 도 11a에 도시된 바와 같이 3개의 개구들(860)이 존재하며, 개구들(860)은, 외측 에지(1102)와 내측 에지(1104) 사이의 위치들에 형성된다. 외측 에지(1102)와 내측 에지(1104) 사이의 거리(즉, 폭)는, 상이한 프로세스들 또는 프로세스 화학적 반응들을 최적화하기 위해 변할 수 있다. 지지 링(850)의 반경은 또한, 정전 척의 반경에 따라 변할 수 있다.
[0065] 도 11b는 본 개시내용의 일 예에 따른, 도 11a의 지지 링(850)의 일부분의 확대된 개략적인 평면도이다. 도 11b에 도시된 바와 같이, 내측 에지(1104)는 하나 이상의 돌기들(1106)을 선택적으로 포함할 수 있다. 하나 이상의 돌기들(1106)은, 하나 이상의 개구들(860)에 인접하게 로케이팅될 수 있다. 하나 이상의 돌기들(1106)은, 정전 척(712) 및 지지 링(850) 둘 모두가 프로세싱 동안 열적으로 팽창되는 경우에, 정전 척(712)에 대해 동심을 유지하는 데 사용된다.
[0066] 도 12는 본 개시내용의 다른 예에 따른 기판 지지 조립체(700)의 개략적인 횡단면 부분 측면도이다. 도 12에 도시된 바와 같이, 하나 이상의 푸시 핀들(733)은, 캐소드 라이너(726)에 형성된 개구(1202)를 통해 그리고 차폐부(728)에 형성된 개구(1204)를 통해 로케이팅될 수 있다. 커버 링(734)은, 하나 이상의 푸시 핀들(733)의 챔퍼형 팁들(810)과 맞물리기 위한 하나 이상의 캐비티들(1206)을 포함할 수 있다. 하나 이상의 푸시 핀들(733)은, 에지 링(732 또는 852)을 상승시키는 것과 동일한 방식으로 커버 링(734)을 상승시키거나 기울어지게 할 수 있다. 일 예에서, 하나 이상의 푸시 핀들(733)은, 커버 링(734) 및 에지 링(732 또는 852) 둘 모두를 상승시키거나 기울어지게 하는 데 활용되어, 기판의 프로세싱 표면에 걸친 플라즈마 균일성을 개선한다.
[0067] 본 개시내용의 예들은, 프로세스 챔버에서 프로세싱되는 기판의 표면에 걸친 플라즈마 균일성을 증가시키는 것을 초래한다. 플라즈마 균일성과 프로세스 수율 간에 직접적인 상관 관계가 존재하므로, 증가된 플라즈마 균일성은 프로세스 수율의 증가로 이어진다. 또한, 본 개시내용을 이용하는 프로세스 챔버들은, 에지 링들의 가용 수명을 연장시킴으로써 예방 유지보수를 위한 더 적은 작동 불능 시간을 겪는다.
[0068] 전술한 내용이 본 개시내용의 예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 안출될 수 있으며, 본 개시내용의 범위는 하기의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판을 프로세싱하기 위한 장치로서,
    기판 지지부;
    상기 기판 지지부 상에 배치되는 정전 척(electrostatic chuck) ― 상기 정전 척은, 제1 부분, 제2 부분, 및 제3 부분을 포함함 ―; 및
    상기 정전 척을 둘러싸는 프로세스 키트(kit)를 포함하며,
    상기 프로세스 키트는,
    상기 정전 척의 제3 부분의 표면 상에 배치되는 지지 링(ring),
    상기 정전 척의 제2 부분의 표면 상에 배치되는, 상기 지지 링에 대해 독립적으로 이동가능한 에지(edge) 링, 및
    상기 지지 링 상에 배치되는 커버(cover) 링
    을 포함하고,
    상기 커버 링은, 상기 지지 링과 접촉하는 제1 표면을 갖는, 기판을 프로세싱하기 위한 장치.
  2. 제1항에 있어서,
    지지 조립체(assembly)를 통해 배치되고 그리고 상기 에지 링을 상승시키도록 동작가능한 하나 이상의 푸시 핀(push pin)들을 더 포함하며,
    상기 하나 이상의 푸시 핀들은, 상기 에지 링을 상승시키는 동안 상기 에지 링의 하부 표면과 맞물리도록 동작가능한, 기판을 프로세싱하기 위한 장치.
  3. 제2항에 있어서,
    상기 커버 링 및 상기 하나 이상의 푸시 핀들은 석영으로 제조되는, 기판을 프로세싱하기 위한 장치.
  4. 제2항에 있어서,
    상기 하나 이상의 푸시 핀들의 높이(elevation)를 제어하도록 동작가능한 하나 이상의 스텝퍼(stepper) 모터들을 더 포함하는, 기판을 프로세싱하기 위한 장치.
  5. 제1항에 있어서,
    상기 기판 지지부는, 절연 플레이트, 및 상기 절연 플레이트 상에 배치되는 슬리브(sleeve)를 포함하며,
    상기 지지 링과 상기 슬리브 사이에 갭(gap)이 형성되는, 기판을 프로세싱하기 위한 장치.
  6. 기판 지지 조립체로서,
    제1 표면을 갖는 제1 부분, 제2 표면을 갖는 제2 부분, 및 제3 표면을 갖는 제3 부분을 포함하는 정전 척;
    프로세스 키트 ― 상기 프로세스 키트는,
    상기 정전 척의 제3 부분의 제3 표면 상에 배치되는 지지 링,
    상기 정전 척의 제2 부분의 제2 표면 상에 배치되는 에지 링, 및
    상기 지지 링 상에 배치되는 커버 링
    을 포함하며,
    상기 지지 링은 상기 정전 척의 제2 부분을 둘러싸고,
    상기 커버 링은 상기 에지 링을 둘러쌈 ―;
    상기 에지 링을 상승시키도록 포지셔닝(position)되는 하나 이상의 푸시 핀들; 및
    상기 하나 이상의 푸시 핀들에 커플링되는 하나 이상의 액추에이터(actuator)들을 포함하며,
    상기 하나 이상의 액추에이터들은, 상기 하나 이상의 푸시 핀들의 높이를 제어하도록 동작가능한, 기판 지지 조립체.
  7. 제6항에 있어서,
    상기 에지 링은,
    제1 표면;
    상기 제1 표면에 대향하는 제2 표면;
    상기 하나 이상의 푸시 핀들과 맞물리기 위한, 상기 제2 표면에 형성되는 하나 이상의 캐비티(cavity)들;
    외측 에지 ― 상기 외측 에지는 실질적으로 원형임 ―; 및
    제1 부분 및 제2 부분을 갖는 내측 에지
    를 포함하며,
    상기 제1 부분은 실질적으로 원형이고 그리고 상기 제2 부분은 실질적으로 선형인, 기판 지지 조립체.
  8. 제6항에 있어서,
    상기 지지 링은,
    내측 에지;
    외측 에지; 및
    상기 내측 에지와 상기 외측 에지 사이에 형성되는 하나 이상의 개구들
    을 포함하는, 기판 지지 조립체.
  9. 제6항에 있어서,
    상기 에지 링은, 실리콘 또는 실리콘 카바이드로 제조되는, 기판 지지 조립체.
  10. 제6항에 있어서,
    상기 커버 링은, 최상부 표면, 상기 최상부 표면에 대향하는 제4 표면, 상기 최상부 표면에 대향하는 제5 표면, 및 상기 최상부 표면에 대향하는 제6 표면을 포함하며,
    상기 제4 표면은, 상기 지지 링과 접촉하고 그리고 상기 지지 링에 의해 지지되는, 기판 지지 조립체.
  11. 제10항에 있어서,
    상기 제5 표면과 슬리브 사이에 갭이 형성되고 그리고 상기 제6 표면과 상기 슬리브 사이에 갭이 형성되는, 기판 지지 조립체.
  12. 방법으로서,
    에지 링을 프로세스 챔버 내의 제1 포지션에 유지하면서 제1 개수의 기판들을 프로세싱하는 단계 ― 상기 제1 개수의 기판들 중 제1 기판을 프로세싱하는 동안, 상기 제1 기판은 정전 척의 제1 부분의 제1 표면 상에 배치되고, 상기 에지 링은 상기 정전 척의 제2 부분의 제2 표면 상에 배치되고 그리고 상기 에지 링은 지지 링 상에 배치되는 커버 링에 의해 둘러싸이고, 그리고 상기 지지 링은 상기 정전 척의 제3 부분의 제3 표면 상에 배치됨 ―;
    상기 제1 포지션으로부터 제2 포지션으로 상기 에지 링을 상승시키는 단계; 및
    상기 제1 개수의 기판들 전부가 상기 프로세스 챔버로부터 제거된 후에 로봇에 의해 상기 프로세스 챔버로부터 상기 에지 링을 제거하는 단계를 포함하는, 방법.
  13. 제12항에 있어서,
    상기 에지 링을 상기 제2 포지션으로 상승시키기 전에 상기 에지 링을 제3 포지션으로 상승시키는 단계를 더 포함하며,
    상기 제3 포지션은, 상기 에지 링의 침식량에 대한 응답으로 선택되는, 방법.
  14. 제13항에 있어서,
    상기 에지 링의 침식량을 검출하는 단계를 더 포함하는, 방법.
  15. 제12항에 있어서,
    상기 에지 링을 상승시키는 단계는,
    상기 에지 링의 하부 표면을 하나 이상의 푸시 핀들과 접촉시키는 단계; 및
    상기 하나 이상의 푸시 핀들을 액추에이터를 이용하여 상승시키는 단계
    를 포함하는, 방법.
KR1020187021530A 2016-01-26 2016-12-30 웨이퍼 에지 링 리프팅 솔루션 KR20180099776A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662287038P 2016-01-26 2016-01-26
US62/287,038 2016-01-26
IN201641019009 2016-06-02
IN201641019009 2016-06-02
PCT/US2016/069449 WO2017131927A1 (en) 2016-01-26 2016-12-30 Wafer edge ring lifting solution

Publications (1)

Publication Number Publication Date
KR20180099776A true KR20180099776A (ko) 2018-09-05

Family

ID=59359172

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187021530A KR20180099776A (ko) 2016-01-26 2016-12-30 웨이퍼 에지 링 리프팅 솔루션

Country Status (5)

Country Link
US (1) US11393710B2 (ko)
KR (1) KR20180099776A (ko)
CN (1) CN108369922B (ko)
TW (1) TWI695413B (ko)
WO (1) WO2017131927A1 (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190068490A (ko) * 2017-11-21 2019-06-18 램 리써치 코포레이션 하단 링 및 중간 에지 링
KR20200043706A (ko) * 2018-10-18 2020-04-28 세메스 주식회사 기판 처리 장치
KR20200087113A (ko) * 2018-10-18 2020-07-20 세메스 주식회사 기판 처리 장치
KR20210092322A (ko) * 2018-12-14 2021-07-23 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학 증기 증착을 위한 막 응력 제어
US11264291B2 (en) 2019-06-26 2022-03-01 Samsung Electronics Co., Ltd. Sensor device and etching apparatus having the same
KR20230082663A (ko) * 2020-11-05 2023-06-08 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 운반 장치 및 반도체 반응 챔버
US11798789B2 (en) 2018-08-13 2023-10-24 Lam Research Corporation Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
KR20230156438A (ko) * 2019-08-05 2023-11-14 램 리써치 코포레이션 기판 프로세싱 시스템을 위한 감소된 커패시턴스 변화를 갖는 이동식 에지 링들

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
JP6888007B2 (ja) * 2016-01-26 2021-06-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウェハエッジリングの持ち上げに関する解決
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP7055039B2 (ja) * 2017-03-22 2022-04-15 東京エレクトロン株式会社 基板処理装置
US11404249B2 (en) * 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
WO2018183245A1 (en) * 2017-03-31 2018-10-04 Mattson Technology, Inc. Material deposition prevention on a workpiece in a process chamber
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US10276364B2 (en) 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
CN113707530A (zh) * 2017-07-24 2021-11-26 朗姆研究公司 可移动的边缘环设计
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP6966286B2 (ja) * 2017-10-11 2021-11-10 東京エレクトロン株式会社 プラズマ処理装置、フォーカスリングの昇降制御方法およびフォーカスリングの昇降制御プログラム
TWI722257B (zh) * 2017-11-21 2021-03-21 美商蘭姆研究公司 底部和中間邊緣環
CN111466019A (zh) * 2017-12-05 2020-07-28 朗姆研究公司 用于边缘环损耗补偿的系统和方法
TWI780093B (zh) * 2017-12-15 2022-10-11 美商蘭姆研究公司 用於電漿腔室的環結構及系統
US11056325B2 (en) * 2017-12-20 2021-07-06 Applied Materials, Inc. Methods and apparatus for substrate edge uniformity
US11043400B2 (en) * 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
JP6995008B2 (ja) 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US11201037B2 (en) * 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10957521B2 (en) * 2018-05-29 2021-03-23 Lam Research Corporation Image based plasma sheath profile detection on plasma processing tools
JP7045931B2 (ja) * 2018-05-30 2022-04-01 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
JP7250449B2 (ja) * 2018-07-04 2023-04-03 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
CN109192696B (zh) * 2018-08-10 2021-06-08 北京北方华创微电子装备有限公司 升降针系统、真空反应腔室以及半导体加工设备
US11521872B2 (en) * 2018-09-04 2022-12-06 Applied Materials, Inc. Method and apparatus for measuring erosion and calibrating position for a moving process kit
JP7115942B2 (ja) * 2018-09-06 2022-08-09 東京エレクトロン株式会社 載置台、基板処理装置、エッジリング及びエッジリングの搬送方法
JP7105666B2 (ja) * 2018-09-26 2022-07-25 東京エレクトロン株式会社 プラズマ処理装置
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11512393B2 (en) * 2018-11-29 2022-11-29 Lam Research Corporation Dynamic sheath control with edge ring lift
JP7023826B2 (ja) * 2018-12-07 2022-02-22 株式会社ニューフレアテクノロジー 連続成膜方法、連続成膜装置、サセプタユニット、及びサセプタユニットに用いられるスペーサセット
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
JP7349329B2 (ja) * 2018-12-10 2023-09-22 東京エレクトロン株式会社 プラズマ処理装置及びエッチング方法
JP7134104B2 (ja) * 2019-01-09 2022-09-09 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
WO2020180656A1 (en) * 2019-03-06 2020-09-10 Lam Research Corporation Measurement system to measure a thickness of an adjustable edge ring for a substrate processing system
KR20200112447A (ko) 2019-03-22 2020-10-05 삼성전자주식회사 에지 링을 갖는 기판 처리 장치
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US11018046B2 (en) * 2019-04-12 2021-05-25 Samsung Electronics Co., Ltd. Substrate processing apparatus including edge ring
WO2020214327A1 (en) * 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) * 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
TWM589358U (zh) * 2019-05-10 2020-01-11 美商蘭姆研究公司 半導體製程模組的頂環
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11913777B2 (en) 2019-06-11 2024-02-27 Applied Materials, Inc. Detector for process kit ring wear
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
CN112216646A (zh) * 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
JP7321026B2 (ja) * 2019-08-02 2023-08-04 東京エレクトロン株式会社 エッジリング、載置台、基板処理装置及び基板処理方法
US20220285136A1 (en) * 2019-08-05 2022-09-08 Lam Research Corporation Edge ring systems for substrate processing systems
CN114223054A (zh) * 2019-08-14 2022-03-22 朗姆研究公司 衬底处理系统的可移动边缘环
JP2021040011A (ja) * 2019-09-02 2021-03-11 キオクシア株式会社 プラズマ処理装置
KR102335472B1 (ko) * 2019-09-04 2021-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11443923B2 (en) * 2019-09-25 2022-09-13 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus for fabricating a semiconductor structure and method of fabricating a semiconductor structure
JP7465733B2 (ja) * 2019-09-26 2024-04-11 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置
KR102376830B1 (ko) * 2019-09-30 2022-03-21 시바우라 메카트로닉스 가부시끼가이샤 기판 처리 장치
KR20210042749A (ko) * 2019-10-10 2021-04-20 삼성전자주식회사 정전 척 및 상기 정전 척을 포함하는 기판 처리 장치
CN112701027A (zh) * 2019-10-22 2021-04-23 夏泰鑫半导体(青岛)有限公司 等离子体处理装置及边缘环的更换方法
US20210175103A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. In situ failure detection in semiconductor processing chambers
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
US11804368B2 (en) * 2020-03-02 2023-10-31 Tokyo Electron Limited Cleaning method and plasma processing apparatus
TW202137325A (zh) * 2020-03-03 2021-10-01 日商東京威力科創股份有限公司 電漿處理系統及邊緣環的更換方法
JP2021150424A (ja) * 2020-03-18 2021-09-27 キオクシア株式会社 エッジリング及びプラズマ処理装置
CN115315775A (zh) * 2020-03-23 2022-11-08 朗姆研究公司 衬底处理系统中的中环腐蚀补偿
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
TW202213428A (zh) * 2020-06-05 2022-04-01 日商東京威力科創股份有限公司 電漿處理裝置
CN113802111B (zh) * 2020-06-13 2023-10-31 拓荆科技股份有限公司 使用等离子体处理衬底的设备及改善晶圆薄膜表面形貌的方法
KR20220029103A (ko) * 2020-09-01 2022-03-08 삼성전자주식회사 플라즈마 공정 장비
WO2022076227A1 (en) * 2020-10-05 2022-04-14 Lam Research Corporation Moveable edge rings for plasma processing systems
CN112736015A (zh) * 2020-12-31 2021-04-30 拓荆科技股份有限公司 用于调节处理腔中电浆曲线的装置及其控制方法
US20220328342A1 (en) * 2021-04-08 2022-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma control method in semiconductor wafer fabrication
WO2023167848A1 (en) * 2022-03-02 2023-09-07 Lam Research Corporation Layer uniformity improvement of deposition-inhibition-deposition processes
WO2024064049A1 (en) * 2022-09-23 2024-03-28 Lam Research Corporation Bellows seal for low thru-force actuation of temperature probe across vacuum interface

Family Cites Families (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
JP3020898B2 (ja) 1997-07-22 2000-03-15 株式会社エイ・ティ・アール人間情報通信研究所 アフィンカメラ補正による三次元位置の線形推定方法
JP4297609B2 (ja) * 1997-12-23 2009-07-15 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 保持装置
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
JP2000049144A (ja) 1998-07-28 2000-02-18 Hitachi Chem Co Ltd プラズマ処理装置用電極板
JP3234576B2 (ja) 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) * 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7311784B2 (en) * 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
TW200520632A (en) 2003-09-05 2005-06-16 Tokyo Electron Ltd Focus ring and plasma processing apparatus
KR100578129B1 (ko) 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7338578B2 (en) 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US20050189068A1 (en) 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
US20050205209A1 (en) 2004-03-18 2005-09-22 Aelan Mosden Replacing chamber components in a vacuum environment
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
JP4006004B2 (ja) 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
KR20060117537A (ko) 2005-05-11 2006-11-17 삼성전자주식회사 리프트 핀 높이 정렬용 지그 및 이를 이용한 리프트 핀높이 정렬 방법
US7736528B2 (en) 2005-10-12 2010-06-15 Panasonic Corporation Plasma processing apparatus and plasma processing method
US7846257B2 (en) 2005-12-14 2010-12-07 Tokyo Electron Limited Method for cleaning substrate processing apparatus, substrate processing apparatus, program and recording medium having program recorded therein
WO2007088894A1 (ja) * 2006-01-31 2007-08-09 Tokyo Electron Limited 基板処理装置、ならびにそれに用いられる基板載置台およびプラズマに曝される部材
US7572737B1 (en) 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
JP2008078208A (ja) 2006-09-19 2008-04-03 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US20080066868A1 (en) 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
KR100963297B1 (ko) 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
KR20150136142A (ko) 2008-04-16 2015-12-04 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 프로세싱 증착 차폐 컴포넌트들
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US20100101729A1 (en) 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
JP5406067B2 (ja) 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US20110011534A1 (en) 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
US8409995B2 (en) * 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
US20110100553A1 (en) 2009-08-31 2011-05-05 Rajinder Dhindsa multi-peripheral ring arrangement for performing plasma confinement
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5654297B2 (ja) 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8633423B2 (en) * 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
JP5690596B2 (ja) * 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
JP6001529B2 (ja) * 2011-03-29 2016-10-05 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
JP6003011B2 (ja) 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
KR101926571B1 (ko) 2011-05-31 2018-12-10 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
KR101267459B1 (ko) 2011-09-08 2013-05-31 한국과학기술연구원 플라즈마 이온주입 장치 및 방법
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US8988848B2 (en) * 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
KR20140004724U (ko) * 2013-02-12 2014-08-20 어플라이드 머티어리얼스, 인코포레이티드 실리콘 기판들의 프로세스를 위한 고성능 및 긴 수명의 에지 링
US9287147B2 (en) * 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9123661B2 (en) 2013-08-07 2015-09-01 Lam Research Corporation Silicon containing confinement ring for plasma processing apparatus and method of forming thereof
JP2016529733A (ja) 2013-08-30 2016-09-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板支持システム
JP2015050156A (ja) 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9410249B2 (en) * 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
JP6345030B2 (ja) 2014-08-11 2018-06-20 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
CN105789010B (zh) 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
JP6456177B2 (ja) 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10014198B2 (en) 2015-08-21 2018-07-03 Lam Research Corporation Wear detection of consumable part in semiconductor manufacturing equipment
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US11024528B2 (en) 2015-10-21 2021-06-01 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device having focus ring
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10921251B2 (en) 2016-08-22 2021-02-16 Applied Materials, Inc. Chamber component part wear indicator and a system for detecting part wear
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6869034B2 (ja) 2017-01-17 2021-05-12 東京エレクトロン株式会社 プラズマ処理装置
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
KR102535916B1 (ko) 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
SG11202005150YA (en) 2017-12-01 2020-06-29 Applied Materials Inc Highly etch selective amorphous carbon film
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP6995008B2 (ja) 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220073858A (ko) * 2017-11-21 2022-06-03 램 리써치 코포레이션 하단 링 및 중간 에지 링
KR20200020991A (ko) * 2017-11-21 2020-02-26 램 리써치 코포레이션 하단 링 및 중간 에지 링
KR20200054330A (ko) * 2017-11-21 2020-05-19 램 리써치 코포레이션 하단 링 및 중간 에지 링
KR20210059797A (ko) * 2017-11-21 2021-05-25 램 리써치 코포레이션 하단 링 및 중간 에지 링
KR20190068490A (ko) * 2017-11-21 2019-06-18 램 리써치 코포레이션 하단 링 및 중간 에지 링
US11798789B2 (en) 2018-08-13 2023-10-24 Lam Research Corporation Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
KR20200043706A (ko) * 2018-10-18 2020-04-28 세메스 주식회사 기판 처리 장치
KR20200087113A (ko) * 2018-10-18 2020-07-20 세메스 주식회사 기판 처리 장치
KR20210092322A (ko) * 2018-12-14 2021-07-23 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학 증기 증착을 위한 막 응력 제어
US11854771B2 (en) 2018-12-14 2023-12-26 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition
US11264291B2 (en) 2019-06-26 2022-03-01 Samsung Electronics Co., Ltd. Sensor device and etching apparatus having the same
KR20230156438A (ko) * 2019-08-05 2023-11-14 램 리써치 코포레이션 기판 프로세싱 시스템을 위한 감소된 커패시턴스 변화를 갖는 이동식 에지 링들
KR20230082663A (ko) * 2020-11-05 2023-06-08 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 운반 장치 및 반도체 반응 챔버

Also Published As

Publication number Publication date
US11393710B2 (en) 2022-07-19
WO2017131927A1 (en) 2017-08-03
CN108369922B (zh) 2023-03-21
CN108369922A (zh) 2018-08-03
TWI695413B (zh) 2020-06-01
US20170213758A1 (en) 2017-07-27
TW201737290A (zh) 2017-10-16

Similar Documents

Publication Publication Date Title
US11393710B2 (en) Wafer edge ring lifting solution
US20220319904A1 (en) Wafer edge ring lifting solution
US11043400B2 (en) Movable and removable process kit
KR102009595B1 (ko) 플라즈마 처리 챔버에서 갭 높이 및 평탄화 조정을 제공하는 기판 서포트
CN105810609B (zh) 半导体晶片处理期间控制边缘处理的可移动边缘耦合环
JP2023156415A (ja) 可動エッジリング設計
US11495445B2 (en) Plasma processing apparatus and plasma processing method
CN111653465A (zh) 具有晶片边缘等离子体壳层调谐能力的半导体等离子体处理设备
US20220328290A1 (en) Moveable edge rings for substrate processing systems
CN210722949U (zh) 减少聚合物沉积的设备
US10923333B2 (en) Substrate processing apparatus and substrate processing control method
KR102622055B1 (ko) 에지 링의 패드 부착 방법 및 장치
JP3225695U (ja) ウェハエッジプラズマシース調整機能を備える半導体プラズマ処理装置
US20240153747A1 (en) Substrate supporting unit, apparatus for treating substrate including the same, and ring transfer method
TW202231131A (zh) 用於基板極端邊緣保護的環
WO2024005850A1 (en) Moveable edge rings for plasma processing systems
KR20230155538A (ko) 프로세스 환경을 기판 직경을 넘어 확장시키는 기판 에지 링

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right