CN105810609B - 半导体晶片处理期间控制边缘处理的可移动边缘耦合环 - Google Patents

半导体晶片处理期间控制边缘处理的可移动边缘耦合环 Download PDF

Info

Publication number
CN105810609B
CN105810609B CN201610032252.1A CN201610032252A CN105810609B CN 105810609 B CN105810609 B CN 105810609B CN 201610032252 A CN201610032252 A CN 201610032252A CN 105810609 B CN105810609 B CN 105810609B
Authority
CN
China
Prior art keywords
edge coupling
coupling ring
ring
edge
actuator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610032252.1A
Other languages
English (en)
Other versions
CN105810609A (zh
Inventor
严浩全
罗伯特·格里菲斯·奥尼尔
拉斐尔·卡萨斯
乔恩·麦克切斯尼
亚历克斯·帕特森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/598,943 external-priority patent/US11605546B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201811243095.4A priority Critical patent/CN110010432A/zh
Publication of CN105810609A publication Critical patent/CN105810609A/zh
Application granted granted Critical
Publication of CN105810609B publication Critical patent/CN105810609B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/023Means for mechanically adjusting components not otherwise provided for
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy

Abstract

一种衬底处理系统,其包括处理腔室和布置在处理腔室内的基座。边缘耦合环布置为邻近所述基座的径向外边缘。第一致动器,其配置为选择性地移动所述边缘耦合环至相对于所述基座的提升位置,以在所述边缘耦合环和所述基座之间提供空隙,以允许机器臂将所述边缘耦合环从所述处理腔室移除。

Description

半导体晶片处理期间控制边缘处理的可移动边缘耦合环
相关交叉引用申请
本发明公开是2015年1月22日申请的美国专利申请No.14/598,943的部分继续申请。上述申请的全部公开内容通过引用的方式并入本申请中。
技术领域
本发明公开涉及衬底处理系统,尤其涉及衬底处理系统的边缘耦合环。
背景技术
本文提供的背景描述是出于一般性地呈现本公开的上下文的目的。当前提名的发明人的工作在本背景部分中所述的程度上以及可能在提交申请时无法以其它方式有资格作为现有技术的本说明书中的各方面的工作,既不明确也不暗示地承认其作为本公开的现有技术。
衬底处理系统可被用于执行衬底(诸如半导体晶片)的蚀刻和/或其他处理。衬底可被布置在衬底处理系统的处理腔室内的基座上。例如,在等离子体增强化学气相沉积(PECVD)处理中的蚀刻期间,引入包括一个或多个前体的气体混合物至处理腔室然后等离子体被激发以蚀刻所述衬底。
边缘耦合环已被用于调整衬底的径向外边缘附近的等离子体的蚀刻速率和/蚀刻轮廓。所述边缘耦合环典型地位于围绕所述衬底的径向外边缘的基座上。在衬底的径向外边缘的处理条件可通过改变边缘耦合环的位置、边缘耦合环的内边缘的形状或轮廓、边缘耦合环相对于所述衬底的上表面的高度、边缘耦合环的材料等等来更改。
改变边缘耦合环需要处理腔室打开,这是不合乎期望的。换句话说,在不打开处理腔室的情况下,边缘耦合环的边缘耦合效应不会改变。当边缘耦合环在蚀刻期间被等离体子腐蚀时,所述边缘耦合效改变。改正边缘耦合环的腐蚀需要处理腔室打开以替换边缘耦合环。
现在参考图1-2,衬底处理系统可包括基座20和边缘耦合环30。边缘耦合环30可包括整块或者两个或多个部分。在图1-2中的示例中,边缘耦合环30包括第一环形部分32,第一环形部分32布置在衬底33的径向外边缘附近。第二环形部分34位于衬底33下方从第一环形部分径向向内。第三环形部分36布置于第一环形部分32的下方。在使用期间,等离子体42被引导到衬底33以蚀刻衬底33的暴露部分。边缘耦合环30被布置为帮助成形等离子体从而产生衬底33的均匀的蚀刻。
在图2中,在边缘耦合环30被使用过后,边缘耦合环30的径向内部部分的上表面可显示出腐蚀,如48所示。结果,等离子体42可易于以比蚀刻衬底的径向内部部分更快的速率蚀刻衬底33的径向外边缘,如44所示。
发明内容
一种衬底处理系统,其包括处理腔室和布置在处理腔室内的基座。边缘耦合环布置为邻近所述基座的径向外边缘。第一致动器,其配置为选择性地移动所述边缘耦合环至相对于所述基座的提升位置,以在所述边缘耦合环和所述基座之间提供空隙,以允许机器臂将所述边缘耦合环从所述处理腔室移除。
在其他特征中,提升环布置在边缘耦合环的至少一部分的下方。第一致动器偏置所述提升环和所述提升环偏置所述边缘耦合环。柱布置在所述第一致动器和所述提升环之间。机器臂配置为当所述边缘耦合环和所述提升环在提升位置时将所述边缘耦合环从所述处理腔室移除。支架被连接到所述机器臂。所述支架包括自定心的特征,所述自定心的特征与所述边缘耦合环上的自定心的特征紧密配合。所述边缘耦合环包括自定心的特征,所述自定心的特征与所述提升环上的自定心的特征紧密配合。
在另一些特征中,底部边缘耦合环布置在边缘耦合环的至少一部分和所述提升环的下方。所述底部边缘耦合环包括自定心的特征,所述自定心的特征与所述提升环上的自定心的特征紧密配合。
在其他特征中,所述提升环包括径向向外延伸的突出。所述突出包括形成在其面向底部的表面上的凹槽。当所述边缘耦合环被提升时,所述凹槽由所述柱偏置。
在其他特征中,所述机器臂在不需要处理腔室敞开于大气压力的情况下将所述边缘耦合环从所述处理腔室移除。第二致动器配置为相对于所述提升环移动所述边缘耦合环以改变所述边缘耦合环的边缘耦合轮廓。中间边缘耦合环布置于边缘耦合环的至少一部分和所述提升环之间。当所述第二致动器相对于所述提升环移动所述边缘耦合环时,所述中间边缘耦合环保持不动。
在其他特征中,控制器配置为响应于所述边缘耦合环的面向等离子体的表面的腐蚀,使用所述第二致动器移动所述边缘耦合环。所述控制器配置为在所述边缘耦合环暴露于预定的蚀刻循环数后,使用所述第二致动器自动移动所述边缘耦合环。所述控制器配置为在所述边缘耦合环暴露于预定的蚀刻时间段后,使用所述第二致动器自动移动所述边缘耦合环。
在其他特征中,传感器配置为与所述控制器通信并且检测所述边缘耦合环的腐蚀。机器臂配置为与所述控制器通信并且调整所述传感器的位置。控制器配置为使用所述第二致动器移动所述边缘耦合环至第一位置,以便使用第一边缘耦合效应用于衬底的第一处理,并且然后使用所述第二致动器移动所述边缘耦合环至第二位置,以便使用不同于所述第一边缘耦合效应的第二边缘耦合效应用于衬底的第二处理
一种用于维持衬底处理系统中的边缘耦合环的方法,包括将边缘耦合环布置为邻近处理腔室中的基座的径向外边缘;使用第一致动器选择性地移动所述边缘耦合环至相对于所述基座的提升位置;以及当所述边缘耦合环在提升位置时,使用机器臂更换所述边缘耦合环。
在其他特征中,所述方法包括将提升环布置在边缘耦合环的至少一部分的下方。所述致动器偏置所述提升环和所述提升环偏置所述边缘耦合环。所述方法包括将柱布置在所述第一致动器和所述提升环之间。所述方法包括将支架连接到所述机器臂。所述支架包括自定心的特征,所述自定心的特征与所述边缘耦合环上的自定心的特征紧密配合。所述方法包括使用在所述边缘耦合环上的自定心的特征与所述提升环上的自定心的特征紧密配合。
在其他特征中,所述方法包括将底部边缘耦合环布置在边缘耦合环的至少一部分和所述提升环的下方。所述方法包括使用所述底部边缘耦合环上的自定心的特征与所述提升环上的自定心的特征紧密配合。所述提升环包括径向向外延伸的突出。所述突出包括形成在其面向底部的表面上的凹槽。当所述边缘耦合环被提升时,所述凹槽由所述柱偏置。
在其他特征中,所述方法包括使用第二致动器相对于所述提升环移动所述边缘耦合环以改变所述边缘耦合环的边缘耦合轮廓。所述方法包括将中间边缘耦合环布置于边缘耦合环的至少一部分和所述提升环之间,其中当所述第二致动器相对于所述提升环移动所述边缘耦合环时,所述中间边缘耦合环保持不动。
在其他特征中,所述方法包括响应于所述边缘耦合环的面向等离子体的表面的腐蚀,使用所述第二致动器移动所述边缘耦合环。所述方法包括在所述边缘耦合环暴露于预定的蚀刻循环数后,自动移动所述边缘耦合环。所述方法包括在所述边缘耦合环暴露于预定的蚀刻时间段后,自动移动所述边缘耦合环。
在其他特征中,所述方法包括使用传感器检测所述边缘耦合环的腐蚀。所述方法包括使用所述第二致动器移动所述边缘耦合环至第一位置,以便使用第一边缘耦合效应用于衬底的第一处理,并且然后使用所述第二致动器移动所述边缘耦合环至第二位置,以便使用不同于所述第一边缘耦合效应的第二边缘耦合效应用于衬底的第二处理。
通过详细的说明、权利要求和附图,本发明公开的应用的进一步范围将变得明显。详细的说明和特定的示例只是为了说明的目的并且不意在限制本公开的范围。
附图说明
从详细的描述和附图中将会更充分地理解本发明公开,其中:
图1是根据现有技术的基座和边缘耦合环的侧面横断面图;
图2是边缘耦合环的腐蚀发生后,根据现有技术的基座和边缘耦合环的侧面横断面图;
图3是根据本发明的基座、边缘耦合环和致动器的一个示例的侧面横断面图;
图4是边缘耦合环的腐蚀发生后,图3中的基座、边缘耦合环和致动器的侧面横断面图;
图5是边缘耦合环的腐蚀发生以及致动器移动后,图3中的基座、边缘耦合环和致动器的侧面横断面图;
图6是根据本发明的位于另一位置的基座、边缘耦合环和致动器的另一个示例的侧面横断面图;
图7是根据本发明的基座、边缘耦合环和压电致动器的另一个示例的侧面横断面图;
图8是边缘耦合环的腐蚀发生以及压电致动器移动后,图7中的基座、边缘耦合环和压电致动器的侧面横断面图;
图9是根据本发明的、包括基座、边缘耦合环和致动器的衬底处理腔室的一个示例的功能框图;
图10是示出了根据本发明的用于操作致动器以移动边缘耦合环的方法的一个示例的步骤的流程图;
图11是示出了根据本发明的用于操作致动器以移动边缘耦合环的方法的另一个示例的步骤的流程图;
图12是根据本发明的处理腔室的示例的功能框图,该处理腔室包括布置在处理腔室外部的、通过致动器可移动的边缘耦合环;
图13A和13B示出了根据本发明的边缘耦合环的左右(side-to-side)倾斜的一个示例;
图14示出了用于在衬底处理过程中移动边缘耦合环的方法的一个示例;
图15是包括边缘耦合环和提升环的基座的一个示例的平面图;
图16是边缘耦合环和提升环的一个示例的侧面横断面图;
图17是边缘耦合环由提升环提升以及边缘耦合环由机器臂移除的一个示例的侧面横断面图;
图18是可移动边缘耦合环和提升环的一个示例的侧面横断面图;
图19是图18中的可移动边缘耦合环位于提升位置的侧面横断面图;
图20是图18中的边缘耦合环由提升环提升以及边缘耦合环由机器臂移除的侧面横断面图;
图21是可移动边缘耦合环的一个示例的侧面横断面图;
图22是图21中的边缘耦合环由致动器提升并由机器臂移除的侧面横断面图;
图23是不用打开处理腔室替换边缘耦合环的方法的一个示例;
图24是由于腐蚀移动边缘耦合环和不用打开处理腔室替换边缘耦合环的方法一个示例;以及
图25是由于腐蚀提升边缘耦合环和不用打开处理腔室替换边缘耦合环的方法的一个示例。
在附图中,附图标记可被重复使用以表示相似和/或相同的部件。
具体实施方式
本申请允许边缘耦合环的一个或更多部分相对衬底处理系统中的衬底或基座垂直和/或水平地移动。该移动改变等离子体相对于衬底在蚀刻或其他衬底处理过程中的边缘耦合效应,而不需要打开处理腔室。
现参考图3-5,衬底处理系统包括基座20和边缘耦合环60。边缘耦合环60可由单一部分形成或者可使用两个或两个以上部分。在图3-5的示例中,边缘耦合环60包括第一环形部分72,其径向地布置在衬底33的外部。第二环形部分74位于衬底33下方从第一环形部分72径向向内。第三环形部分76布置在第一环形部分72的下方。
致动器80可被布置在不同位置以相对衬底33移动边缘耦合环60的一个或多个部分,如下文进一步所述。例如,在图3中致动器80被布置在边缘耦合环60的第一环形部分72和边缘耦合环60的第三环形部分76之间。在一些示例中,致动器80可包括压电致动器、步进马达、气力传动装置或其他合适的致动器。在一些示例中,使用一个、两个、三个或四个或者更多个致动器。在一些示例中,多个致动器绕边缘耦合环60均匀地布置。(多个)致动器80可被布置在处理腔室的内部或外部。
在使用中,等离子体82被引导到衬底33以蚀刻衬底33的暴露的部分。边缘耦合环60被布置以帮助成形等离子体电场,使得产生衬底33的均匀蚀刻。在图4中的84和86可见,边缘耦合环60的一个或多个部分可被等离子体82腐蚀。腐蚀的结果是,衬底33的非均匀蚀刻可在衬底33的径向外边缘发生。通常,处理需要停止,处理腔室被打开并且替换边缘耦合环。
在图5中,致动器80被用于移动边缘耦合环60的一个或多个部分,以改变边缘耦合环60的一个或多个部分的位置。例如,致动器80可被用于移动边缘耦合环60的第一环形部分72。在这个示例中,致动器80朝着向上或垂直的方向移动边缘耦合环60的第一环形部分72,从而边缘耦合环60的第一环形部分72的边缘86相对于衬底33的径向外边缘较高。结果,改善了衬底33的径向外边缘附近的蚀刻均匀性。
现在参考图6,可以理解,致动器可被布置在一个或更多其他的位置并可在其他方向(例如水平、对角等)上移动。边缘耦合环的部分的水平移动可被执行以相对衬底集中边缘耦合效应。在图6中,致动器110被径向地布置在边缘耦合环60的外部。此外,致动器110沿垂直(或上/下)方向以及水平(或左右)方向移动。当衬底的蚀刻显示边缘耦合环相对于衬底的水平偏置时,可使用水平再定位。可不打开处理腔室而校正水平偏置。同样地,边缘耦合环的倾斜可通过驱动不同于另一些致动器的一些致动器而执行,以改正或产生左右不对称。
与其说将致动器110定位在边缘耦合环的环形部分之间,不如说致动器110也可被连接到径向外壁或其他标记为114的结构。可替换地,致动器110可由壁或其他标记为116的结构从下方支撑。
现在参考图7-8,示出了边缘耦合环150和压电致动器154的另一个示例。在这个示例中,压电致动器154移动边缘耦合环150。压电致动器154被安装在第一环形部分72和边缘耦合环150的第三环形部分76中。在图8中,压电致动器154移动边缘耦合环150的第一环形部分72以调整第一环形部分72的边缘156的位置。
现在参考图9,示出了用于使用RF等离子体执行蚀刻的衬底处理腔室500的一个示例。衬底处理腔室500包括处理腔室502,该处理腔室502包围衬底处理腔室500的其他组件并包含RF等离子体。衬底处理腔室500包括上电极504和基座506,所述基座506包括下电极507。边缘耦合环503由基座506支撑并绕衬底508布置。一个或多个致动器505可被用于移动边缘耦合环503。在操作期间,衬底508被布置在基座506上,位于上电极504和下电极507之间。
例如,上电极504可包括喷头509,其引入并分配处理气体。喷头509可包括杆部分,所述杆部分包括连接到处理腔室的上表面的一个端部。底座部分通常是圆柱形的并从杆部分的相对端径向向外延伸,该相对端位于与处理腔室的上表面间隔开的位置。喷头的面对衬底的表面或底座部分的面板包括处理气体或净化气体流过的多个孔。可替换地,上电极504可包括导电板且处理气体可被以其他方式引入。下电极507可被布置在非导电的基座中。可替换地,基座506可包括静电卡盘,其包括用作下电极507的导电板。
RF产生系统510产生并输出RF电压到上电极504和下电极507中的一个。上电极504和下电极507中的另一个可DC接地、AC接地或浮置。例如,RF产生系统510可包括RF电压发生器511,其产生由匹配和分配网络512供给到上电极504或下电极507的RF电压。在其他示例中,等离子体可以电感方式或远程产生。
气体传输系统530包括一个或多个气体源532-1、532-2……和532-N(集合气体源532),其中N是大于零的整数。气体源提供一个或多个前体或它们的混合物。气体源也可提供净化气体。也可使用汽化前体。气体源532被阀534-1、534-2…和534-N(集合阀534)和质量流控制器536-1、536-2……和536-N(集合质量流控制器536)连接到歧管540。歧管540的输出被输给处理腔室502。例如,歧管540的输出被输给喷头509。
加热器542可被连接到布置在基座506的加热线圈(未示出)。加热器542可被用于控制基座506和衬底508的温度。阀550和泵552可被用于从处理腔室502排出反应物。控制器560可被用于控制衬底处理腔室500的组件。控制器560也可被用于控制致动器505以调整边缘耦合环503的一个或多个部分的位置。
机械臂570和传感器572可被用于测量边缘耦合环的腐蚀。在一些示例中,传感器572可包括深度计。机械臂570可移动深度计与边缘耦合环接触以测量腐蚀。可替换地,激光干涉仪(有或者没有机械臂570)可被用于在无直接接触的情况下测量腐蚀。如果激光干涉仪可布置成直线连接到边缘耦合环,则机械臂570可被省略。
另一个机械臂573可被用于传送衬底到基座506上和移开衬底。此外,机器臂573可被用于传送未用过的边缘耦合环到提升环上以及替换充分磨损后的用过的边缘耦合环,如下文中将结合附图15-23进一步描述。当同一个机器臂573可被用于衬底和边缘耦合环时,也可使用专用机器臂。
现在参考图10,示出了操作致动器以移动边缘耦合环的方法600的一个示例。在610,至少边缘耦合环的一部分被放置在相对于衬底的第一位置。在614,操作衬底处理系统。所述操作可包括蚀刻或其他衬底处理。在618,控制判定是否已发生预定的蚀刻时间段或者预定的蚀刻循环数。如果在618判定预定的时间段或者循环数并未达到,则控制返回614。
当达到预定的时间段或循环数,在624控制判定最大预定时刻时间段是否达到,蚀刻循环的最大数量是否已发生和/或制动器移动的最大值是否已发生。
如果624为“否”,控制使用致动器移动边缘耦合环的至少一部分。边缘耦合环的移动可在不打开处理腔室的情况下自动执行、手动执行或者自动和手动两者结合地执行。如果624为“是”,控制发出信息或者以其他方式指示边缘耦合环应被维修/替换。
现在参考图11,示出了操作致动器以移动边缘耦合环的方法700的一个示例。在710,至少边缘耦合环的一部分被置于相对于衬底的第一位置。在714,操作衬底处理系统。所述操作可包括蚀刻或衬底的其他处理。在718,控制使用传感器(如深度计或激光干涉仪)判定边缘耦合环的预定的腐蚀量是否产生。如果718是“否”,则控制返回714。
当预定的腐蚀量产生,在724控制判定最大的腐蚀量是否产生。如果724是“否”,则控制使用致动器移动至少边缘耦合环的一部分。边缘耦合环的移动可再不打开处理腔室的情况下自动执行、手动执行或者自动和手动两者结合地执行。如果724为“是”,则控制发出信息或者以其他方式指示边缘耦合环应被维修/替换。
除了上述之外,边缘耦合环是否需要被移动的判定可基于衬底在处理之后的蚀刻图案的检查。致动器可被用于在不打开腔室的情况下调整边缘耦合环的边缘耦合轮廓。
现在参考图12,处理腔室800包括布置在基座20上的边缘耦合环60。边缘耦合环60包括一个或多个部分,所述一个或多个部分可由一个或多个布置在处理腔室800外部的致动器804移动。在这个示例中,第一环形部分72是可移动的。致动器804可由机械联动装置810连接到边缘耦合环60的第一环形部分72。例如,机械联动装置810可包括杆构件。所述机械联动装置810可穿过处理腔室800的壁814中的孔811。可使用密封件812,如“O”环。所述机械联动装置810可穿过一个或多个结构(例如边缘耦合环60的第三环形部分76)中的孔815。
现在参考图13A和13B,示出了边缘耦合环830的左右倾斜。左右倾斜可被用于校正左右未对准。在图13A中,边缘耦合环830在衬底的相对侧的部分830-1和830-2被布置在第一布置840。所述部分830-1和830-2通常可与边缘耦合环830的部分832-1和832对准。致动器836-1和836-2分别布置于部分830-1和832-1之间以及830-2和832-2之间。
在图13B中,致动器836-1和836-2移动边缘耦合环830的相应部分,从而边缘耦合环830移动到不同于图13A中所示的第一布置840的第二布置850。可以理解的是,衬底可在处理后被检查并且相对于衬底的倾斜可在不打开处理腔室的情况下按需调整。
现在参考图14,示出了在处理衬底的过程中移动边缘耦合环的方法900。换句话说,可在同一个处理腔室中的单一衬底上执行不同的处理。在继续进行后面的衬底之前,边缘耦合环的边缘耦合效应可在执行于同一个处理腔室中的衬底上的多个处理之间调节。在910,衬底位于基座上并且需要的话可以调整边缘耦合环的位置。在914,执行衬底的处理。如果在918判定衬底的处理完成,则在922衬底被从基座移开。在924,控制判定另一个衬底是否需要被处理。如果924是“是”,则方法返回910。否则方法结束。
如果918是“否”并且衬底需要额外的处理,则在930所述方法判定是否需要边缘耦合环的调整。如果930是“否”,则方法返回914。如果930是“是”,在934使用一个或多个致动器移动至少边缘耦合环的一部分并且方法返回914。可以理解的是,边缘耦合环可在同一个处理腔室中的同一个衬底的处理之间调整。
现在参考图15,边缘耦合环1014和提升环1018布置为邻近并围绕基座1010的上表面。边缘耦合环1014包括如前文所述的布置为在蚀刻期间邻近衬底的径向内边缘。提升环1018布置在边缘耦合环1014的至少一部分的下面。当使用机器臂移除边缘耦合环1014时,提升环1018用于提升边缘耦合环1014至基座1010的表面之上。边缘耦合环1014可在不需要处理腔室对大气压力敞开的情况下被移除。在一些示例中,提升环1018可选择地包括周向间隔的端部1020之间的开口部分1019,以为机械臂提供空隙来移除边缘耦合环1014,如下文所述。
现在参考图16-17,进一步具体地示出了边缘耦合环1014和提升环1018的示例。在图16所示的示例中,基座可包括静电卡盘(ESC),通常标记为1021。静电卡盘1021可包括一个或多个堆积板,诸如ESC板1022、1024、1030和1032。ESC板1030可对应于中间ESC板且ESC板1032可对应于ESC基板。在一些示例中,O环1026可被布置在ESC板1024和1030之间。虽然示出了特定的基座1010,但是也可使用其他类型的基座。
底部边缘耦合环1034可被布置在边缘耦合环1014和提升环1018下方。底部边缘耦合环1034可布置为邻近ESC板1024、1030和1032以及O环1026并径向地在ESC板1024、1030和1032以及O环1026的外部。
在一些示例中,边缘耦合环1014可包括一个或多个自定心的特征1040、1044和1046。例如,自定心的特征1040和1044可以是三角形形状的阴性的自定心的特征,也可使用其他形状。自定心的特征1046可以是坡面。提升环1018可包括一个或多个自定心的特征1048、1050和1051。例如,自定心的特征1048和1050可以是三角形形状的阳性的自定心特征,也可以使用其他形状。自定心的特征1051可以是具有与自定心的特征1046互补的形状的坡面。提升环1018上的自定心的特征1048可与边缘耦合环1014上的自定心的特征1044紧密配合。提升环1018上的自定心的特征1050可与底部边缘耦合环1034的自定心的特征1052紧密配合。
提升环1018进一步包括突出1054,该突出径向向外延伸。凹槽1056可被布置在突出1054的面向底部的表面1057上。凹槽1056配置为由柱1060的一端偏斜,所述柱1060连接到致动器并选择性地由致动器1064垂直移动。致动器1064可由控制器控制。可以理解的是,虽然示出了单个凹槽、柱和致动器,额外的凹槽、柱和致动器可周向地以间隔的关系布置为围绕提升环1018,以朝着向上的方向偏置所述提升环1018。
在图17中,边缘耦合环1014示出为使用(多个)柱1060和(多个)致动器1064由提升环1018朝着向上的方向提升。边缘耦合环1014可通过机器臂从处理腔室移除。更具体地,机器臂1102通过支架1104连接到边缘耦合环1014。支架1104可包括自定心的特征1110,其与边缘耦合环1014上的自定心的特征1040紧密配合。可以理解的是,机器臂1102和支架1104可向上偏置边缘耦合环以清洁提升环1018上的自定心的特征1048。然后,机器臂1102、支架1104和边缘耦合环1014可被移出处理腔室。机器臂1102、支架1104和新的边缘耦合环可被送回并置于提升环1018上。然后,提升环1018被降低。可使用相反的操作以传送新的边缘耦合环1014于提升环1018之上。
可替换地,代替向上提升机器臂1102和支架1104以提升边缘耦合环1014离开提升环1018,机器臂1102和支架1104可位于提升的边缘耦合环1014之下且与之接触。然后,提升环1018被降低且边缘耦合环1014保持在机器臂1102和支架1104上。机器臂1102、支架1104和边缘耦合环1014可被从处理腔室移除。可使用相反的操作以传送新的边缘耦合环1014到提升环1018上。
现在参考图18-20,示出了可移动的边缘耦合环1238和提升环1018。在图18中,一个或多个柱1210通过一个或多个致动器1214上下移动分别穿过ESC基板1032、底部边缘耦合环1034和提升环1018中的钻孔1220、1224和1228。在这个示例中,中间边缘耦合环1240或垫片布置于可移动边缘耦合环1238和提升环1018之间。中间边缘耦合环1240可包括自定心的特征1244和1246。相应的自定心的特征1248可被提供到可移动的边缘耦合环1238上。自定心的特征1248与中间边缘耦合环1240上的自定心的特征1246紧密配合。
如上文详细的描述,可移动边缘耦合环1238的面向上的表面的腐蚀可能会在使用中发生。这可能会反过来改变等离子体的轮廓。可使用柱1210和致动器1214选择性地朝着向上的方向移动可移动边缘耦合环1238,以改变等离子体的轮廓。在图19中,示出图18中的可移动边缘耦合环1238在提升位置。中间边缘耦合环1240可保持不动。最终,可移动边缘耦合环1238可被移动一次或多次并且然后边缘耦合环1238和中间边缘耦合环1240可被替换。
在图20中,致动器1214返回到下降的状态并且致动器1064被移动到提升的状态。边缘耦合环1238和中间边缘耦合环1240由提升环1018提升并且可移动边缘耦合环1238可被机器臂1102和支架1104移除。
可以理解的是,致动器可被布置在处理腔室内或在处理腔室的外部。在一些示例中,边缘耦合环可通过盒、装载锁和传输室等类似物被提供给腔室。可替换地,边缘耦合环可被储存于处理腔室的外部但在衬底处理工具的内部。
现在参考图21-22,在一些示例中可省略提升环。边缘耦合环1310被布置于底部边缘耦合环1034上和基座的径向外边缘上。边缘耦合环1310可包括一个或多个自定心的特征1316和1320。边缘耦合环1310可进一步包括凹槽1324,用于接收柱1210的上表面,所述柱被致动器1214偏置。自定心的特征1320可被布置于抵靠相应的底部边缘耦合环1034的自定心的特征1326。在一些示例中,自定心的特征1320和1326是倾斜平面。
在图22中,致动器1214和柱1210在发生腐蚀后向上偏置边缘耦合环1310以移除边缘耦合环1310或调整等离子体轮廓。机器臂1102和支架1104可被移动到边缘耦合环1310下方的位置。自定心的特征1316可由连接到机器臂1102的支架1104上的自定心的特征1110结合。或者机器臂1102朝着向上的方向移动以在凹槽1324和柱1210之间提供空隙,或者柱1210由致动器1214向下移动以为凹槽1324提供空隙。
现在参考图23,示出了用于在处理腔室不敞开于大气压力的情况下替换边缘耦合环的方法1400。在1404,所述方法判定边缘耦合环是否位于提升环上。如果1404是“否”,则在1408所述方法使用机器臂将边缘耦合环移动到在提升环上的位置。边缘耦合环位于处理腔室内的提升环之上后,在1410运行处理。在1412,所述方法使用任何前文所述的标准判定边缘耦合环是否磨损。如果1412是“否”,则所述方法返回1410并且可再次运行处理。如果在1412判定边缘耦合环磨损,则在1416替换边缘耦合环并且所述方法在1410继续。
现在参考图24,当可移动边缘耦合环被判定为磨损时,方法1500按需调整可移动边缘耦合环的位置以抵消腐蚀并选择性地替换可移动边缘耦合环。在1502,该方法判定可移动边缘耦合环是否位于提升环上。如果1502是“否”,则在1504,边缘耦合环被移动到提升环上的位置,并且所述方法在1502继续。
如果1502是“是”,则在1506所述方法判定可移动边缘耦合环的位置是否需要调整。如果1506是“是”,则所述方法使用致动器调整可移动边缘耦合环的位置并返回1506。当1506是“否”时,则在1510所述方法运行处理。在1512,所述方法判定可移动边缘耦合环是否磨损。如果“否”,则所述方法返回1510。
如果1512是“是”,则在1520所述方法判定可移动边缘耦合环是否在最高的(或充分调整的)位置。如果1520是“否”,则所述方法使用致动器1214调整可移动边缘耦合环的位置并且所述方法返回1510。如果1520是“是”,则所述方法使用致动器1064、提升环1018和机器臂1102替换可移动边缘耦合环。
现在参考图25,示出了一种用于在处理腔室不敞开于大气压力的情况下替换边缘耦合环的方法1600。在1610,使用致动器向上偏置提升环和边缘耦合环。在1620,机器臂和支架在边缘耦合环的下方移动。在1624,机器臂向上移动以清洁边缘耦合环的自定心的特征或者提升环向下移动。在1628,具有边缘耦合环的机器臂被移出处理腔室。在1632,边缘耦合环从机器臂分离。在1636,机器臂拾取替换的边缘耦合环。在1638,边缘耦合环位于提升环上并且使用一个或多个自定心的特征对准。在1642,机器臂被降低以允许用于自定心的特征的足够空隙并且机器臂被从腔室移除。在1646,提升环和边缘耦合环被降低就位。
前面的描述在本质上仅仅是说明性的并且不以任何方式意在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式来实现。因此,虽然本公开包括特定示例,本公开的真实范围不应被如此限制,因为其它的修改将在附图、说明书和后面的权利要求的研究中变得显而易见。如本文所用,短语A、B和C中的至少一个应当解释为是指逻辑(A或B或C),使用非排他逻辑“或(OR)”,并且不应当被解释为是指“至少A的一个、至少B的一个和至少C中的一个”。应当理解的是,在不改变本发明公开的原理的情况下,一个方法中的一个或多个步骤可以以不同的顺序(或同时)执行。
在一些实现方式中,控制器可以是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)通信到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是fab主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。

Claims (33)

1.一种衬底处理系统,包括:
处理腔室;
布置在所述处理腔室内的基座,所述基座包括基板和布置在所述基板上的至少一个板;
边缘耦合环,其布置为邻近所述基座的径向外边缘,其中所述边缘耦合环的内部部分与所述至少一个板重叠;
提升环,其中所述边缘耦合环的外部部分与所述提升环重叠;以及
第一致动器,其配置为选择性地移动所述提升环以使所述边缘耦合环移动至相对于所述基座的提升位置和下降位置,其中,使所述边缘耦合环移动至所述提升位置允许机器臂将所述边缘耦合环从所述处理腔室移除,其中,当所述边缘耦合环在所述提升位置时,所述边缘耦合环的底表面在所述基座的最上表面的上方,以在所述边缘耦合环的所述底表面和所述基座的所述最上表面之间限定空隙,其中所述空隙位于所述边缘耦合环的底表面的正下方和所述至少一个板的上表面的上方,并且其中,当所述边缘耦合环在所述下降位置时,所述边缘耦合环的所述底表面由所述至少一个板的所述上表面支撑。
2.如权利要求1所述的衬底处理系统,其中所述第一致动器偏置所述提升环和所述提升环偏置所述边缘耦合环。
3.如权利要求2所述的衬底处理系统,进一步包括柱,其布置在所述第一致动器和所述提升环之间。
4.如权利要求2所述的衬底处理系统,进一步包括机器臂,其配置为当所述边缘耦合环和所述提升环在所述提升位置时将所述边缘耦合环从所述处理腔室移除。
5.如权利要求4所述的衬底处理系统,进一步包括支架,其连接到所述机器臂,其中所述支架包括自定心的特征,所述自定心的特征与所述边缘耦合环上的自定心的特征紧密配合。
6.如权利要求2所述的衬底处理系统,其中所述边缘耦合环包括自定心的特征,其与所述提升环上的自定心的特征紧密配合。
7.如权利要求2所述的衬底处理系统,进一步包括底部边缘耦合环,其布置在所述边缘耦合环的至少一部分和所述提升环的下方。
8.如权利要求7所述的衬底处理系统,其中所述底部边缘耦合环包括自定心的特征,其与所述提升环上的自定心的特征紧密配合。
9.如权利要求3所述的衬底处理系统,其中:
所述提升环包括径向向外延伸的突出;
所述突出包括形成在其面向底部的表面上的凹槽;以及
当所述边缘耦合环被提升时,所述凹槽由所述柱偏置。
10.如权利要求4所述的衬底处理系统,其中所述机器臂在不需要所述处理腔室敞开于大气压力的情况下将所述边缘耦合环从所述处理腔室移除。
11.如权利要求2所述的衬底处理系统,进一步包括第二致动器,其配置为相对于所述提升环移动所述边缘耦合环以改变所述边缘耦合环的边缘耦合轮廓。
12.如权利要求11所述的衬底处理系统,进一步包括中间边缘耦合环,其布置于所述边缘耦合环的至少一部分和所述提升环之间,其中当所述第二致动器相对于所述提升环移动所述边缘耦合环时,所述中间边缘耦合环保持不动。
13.如权利要求11所述的衬底处理系统,进一步包括控制器,其配置为响应于所述边缘耦合环的面向等离子体的表面的腐蚀,使用所述第二致动器移动所述边缘耦合环。
14.如权利要求13所述的衬底处理系统,其中所述控制器配置为在所述边缘耦合环暴露于预定的蚀刻循环数后,使用所述第二致动器自动移动所述边缘耦合环。
15.如权利要求13所述的衬底处理系统,其中所述控制器配置为在所述边缘耦合环暴露于预定的蚀刻时间段后,使用所述第二致动器自动移动所述边缘耦合环。
16.如权利要求13所述的衬底处理系统,进一步包括传感器,其配置为与所述控制器通信并且检测所述边缘耦合环的腐蚀。
17.如权利要求16所述的衬底处理系统,进一步包括机器臂,其配置为与所述控制器通信并且调整所述传感器的位置。
18.如权利要求11所述的衬底处理系统,进一步包括控制器,其配置为使用所述第二致动器移动所述边缘耦合环至第一位置,以便使用第一边缘耦合效应用于衬底的第一处理,并且然后使用所述第二致动器移动所述边缘耦合环至第二位置,以便使用不同于所述第一边缘耦合效应的第二边缘耦合效应用于衬底的第二处理。
19.一种用于维持衬底处理系统中的边缘耦合环的方法,包括:
将边缘耦合环布置为邻近处理腔室中的基座的径向外边缘,所述基座包括基板和布置在所述基板上的至少一个板,其中所述边缘耦合环的内部部分与所述至少一个板重叠,并且其中,所述边缘耦合环的外部部分与提升环重叠;
使用第一致动器选择性地移动所述提升环以使所述边缘耦合环移动至相对于所述基座的提升位置和下降位置,其中,当所述边缘耦合环在所述提升位置时,所述边缘耦合环的底表面在所述基座的最上表面的上方,以在所述边缘耦合环的所述底表面和所述基座的所述最上表面之间限定空隙,其中所述空隙位于所述边缘耦合环的底表面的正下方和所述至少一个板的上表面的上方,并且其中,当所述边缘耦合环在所述下降位置,所述边缘耦合环的所述底表面由所述至少一个板的所述上表面支撑;以及
当所述边缘耦合环在提升位置时,使用机器臂替换所述边缘耦合环。
20.如权利要求19所述的方法,其中所述致动器偏置所述提升环和所述提升环偏置所述边缘耦合环。
21.如权利要求20所述的方法,进一步包括将柱布置在所述第一致动器和所述提升环之间。
22.如权利要求20所述的方法,进一步包括将支架连接到所述机器臂,其中所述支架包括自定心的特征,所述自定心的特征与所述边缘耦合环上的自定心的特征紧密配合。
23.如权利要求20所述的方法,进一步包括使用在所述边缘耦合环上的自定心的特征与所述提升环上的自定心的特征紧密配合。
24.如权利要求20所述的方法,进一步包括将底部边缘耦合环布置在边缘耦合环的至少一部分和所述提升环的下方。
25.如权利要求24所述的方法,进一步使用所述底部边缘耦合环上的自定心的特征与所述提升环上的自定心的特征紧密配合。
26.如权利要求21所述的方法,其中:
所述提升环包括径向向外延伸的突出;
所述突出包括形成在其面向底部的表面上的凹槽;以及
当所述边缘耦合环被提升时,所述凹槽由所述柱偏置。
27.如权利要求20所述的方法,进一步包括使用第二致动器相对于所述提升环移动所述边缘耦合环以改变所述边缘耦合环的边缘耦合轮廓。
28.如权利要求27所述的方法,进一步包括将中间边缘耦合环布置于所述边缘耦合环的至少一部分和所述提升环之间,其中当所述第二致动器相对于所述提升环移动所述边缘耦合环时,所述中间边缘耦合环保持不动。
29.如权利要求27所述的方法,进一步包括响应于所述边缘耦合环的面向等离子体的表面的腐蚀,使用所述第二致动器移动所述边缘耦合环。
30.如权利要求29所述的方法,进一步包括在所述边缘耦合环暴露于预定的蚀刻循环数后,自动移动所述边缘耦合环。
31.如权利要求29所述的方法,进一步包括在所述边缘耦合环暴露于预定的蚀刻时间段后,自动移动所述边缘耦合环。
32.如权利要求20所述的方法,进一步使用传感器检测所述边缘耦合环的腐蚀。
33.如权利要求27所述的方法,进一步包括使用所述第二致动器移动所述边缘耦合环至第一位置,以便使用第一边缘耦合效应用于衬底的第一处理,并且然后使用所述第二致动器移动所述边缘耦合环至第二位置,以便使用不同于所述第一边缘耦合效应的第二边缘耦合效应用于衬底的第二处理。
CN201610032252.1A 2015-01-16 2016-01-18 半导体晶片处理期间控制边缘处理的可移动边缘耦合环 Active CN105810609B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201811243095.4A CN110010432A (zh) 2015-01-16 2016-01-18 一种边缘环

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/598,943 US11605546B2 (en) 2015-01-16 2015-01-16 Moveable edge coupling ring for edge process control during semiconductor wafer processing
US14/598,943 2015-01-16
US14/705,430 US10658222B2 (en) 2015-01-16 2015-05-06 Moveable edge coupling ring for edge process control during semiconductor wafer processing
US14/705,430 2015-05-06

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201811243095.4A Division CN110010432A (zh) 2015-01-16 2016-01-18 一种边缘环

Publications (2)

Publication Number Publication Date
CN105810609A CN105810609A (zh) 2016-07-27
CN105810609B true CN105810609B (zh) 2021-06-25

Family

ID=56408377

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201811243095.4A Pending CN110010432A (zh) 2015-01-16 2016-01-18 一种边缘环
CN201610032252.1A Active CN105810609B (zh) 2015-01-16 2016-01-18 半导体晶片处理期间控制边缘处理的可移动边缘耦合环

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201811243095.4A Pending CN110010432A (zh) 2015-01-16 2016-01-18 一种边缘环

Country Status (6)

Country Link
US (3) US10658222B2 (zh)
JP (3) JP6783521B2 (zh)
KR (4) KR102537053B1 (zh)
CN (2) CN110010432A (zh)
SG (3) SG10201808035YA (zh)
TW (3) TWI717638B (zh)

Families Citing this family (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20150270155A1 (en) * 2012-11-21 2015-09-24 Ev Group Inc. Accommodating device for accommodation and mounting of a wafer
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20160290916A1 (en) * 2013-12-12 2016-10-06 Mes Medical Electronic Systems Ltd. Home testing device
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160289827A1 (en) * 2015-03-31 2016-10-06 Lam Research Corporation Plasma processing systems and structures having sloped confinement rings
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
KR20180099776A (ko) * 2016-01-26 2018-09-05 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
JP6888007B2 (ja) * 2016-01-26 2021-06-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウェハエッジリングの持ち上げに関する解決
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6812224B2 (ja) * 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10910195B2 (en) * 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN108345178B (zh) * 2017-01-25 2020-11-13 上海微电子装备(集团)股份有限公司 一种硅片边缘保护装置
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
US10790121B2 (en) 2017-04-07 2020-09-29 Applied Materials, Inc. Plasma density control on substrate edge
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504738B2 (en) * 2017-05-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Focus ring for plasma etcher
JP7096271B2 (ja) * 2017-05-31 2022-07-05 ラム リサーチ コーポレーション 調整可能/交換可能なエッジ結合リングのための検出システム
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR20230150403A (ko) * 2017-07-24 2023-10-30 램 리써치 코포레이션 이동가능한 에지 링 설계들
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP6966286B2 (ja) 2017-10-11 2021-11-10 東京エレクトロン株式会社 プラズマ処理装置、フォーカスリングの昇降制御方法およびフォーカスリングの昇降制御プログラム
KR102617972B1 (ko) * 2017-11-21 2023-12-22 램 리써치 코포레이션 하단 링 및 중간 에지 링
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7101778B2 (ja) * 2017-12-15 2022-07-15 ラム リサーチ コーポレーション プラズマチャンバ内で使用するためのリング構造およびシステム
US11043400B2 (en) * 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP6995008B2 (ja) * 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
JP7122864B2 (ja) * 2018-05-14 2022-08-22 東京エレクトロン株式会社 クリーニング方法及び基板処理装置
KR102242812B1 (ko) * 2018-05-17 2021-04-22 세메스 주식회사 반송 유닛 및 이를 갖는 기판 처리 장치
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR102433436B1 (ko) 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
CN109065479B (zh) * 2018-07-27 2020-06-16 上海华力集成电路制造有限公司 硅刻蚀机及其操作方法
US11488848B2 (en) * 2018-07-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor die vessel processing workstations
WO2020036613A1 (en) * 2018-08-13 2020-02-20 Lam Research Corporation Replaceable and/or collapsible edge ring assemblies for plasma sheath tuning incorporating edge ring positioning and centering features
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11521872B2 (en) * 2018-09-04 2022-12-06 Applied Materials, Inc. Method and apparatus for measuring erosion and calibrating position for a moving process kit
US11404296B2 (en) * 2018-09-04 2022-08-02 Applied Materials, Inc. Method and apparatus for measuring placement of a substrate on a heater pedestal
US11342210B2 (en) 2018-09-04 2022-05-24 Applied Materials, Inc. Method and apparatus for measuring wafer movement and placement using vibration data
US10847393B2 (en) 2018-09-04 2020-11-24 Applied Materials, Inc. Method and apparatus for measuring process kit centering
US10794681B2 (en) 2018-09-04 2020-10-06 Applied Materials, Inc. Long range capacitive gap measurement in a wafer form sensor system
JP7115942B2 (ja) * 2018-09-06 2022-08-09 東京エレクトロン株式会社 載置台、基板処理装置、エッジリング及びエッジリングの搬送方法
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7076351B2 (ja) 2018-10-03 2022-05-27 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の厚さ測定方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
JP7129307B2 (ja) 2018-10-10 2022-09-01 東京エレクトロン株式会社 基板支持アセンブリ、プラズマ処理装置、及びプラズマ処理方法
KR102134391B1 (ko) * 2018-10-18 2020-07-15 세메스 주식회사 기판 처리 장치
KR102256214B1 (ko) * 2018-10-18 2021-05-25 세메스 주식회사 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
JP2020087969A (ja) 2018-11-15 2020-06-04 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の形状測定方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11512393B2 (en) * 2018-11-29 2022-11-29 Lam Research Corporation Dynamic sheath control with edge ring lift
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) * 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7134104B2 (ja) 2019-01-09 2022-09-09 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020115499A (ja) 2019-01-17 2020-07-30 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の位置ずれ測定方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11393663B2 (en) * 2019-02-25 2022-07-19 Tokyo Electron Limited Methods and systems for focus ring thickness determinations and feedback control
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200112447A (ko) 2019-03-22 2020-10-05 삼성전자주식회사 에지 링을 갖는 기판 처리 장치
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US11018046B2 (en) 2019-04-12 2021-05-25 Samsung Electronics Co., Ltd. Substrate processing apparatus including edge ring
WO2020214327A1 (en) * 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
US20200335368A1 (en) * 2019-04-22 2020-10-22 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
TWM588883U (zh) * 2019-05-10 2020-01-01 美商蘭姆研究公司 半導體製程模組的中環
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
US11913777B2 (en) 2019-06-11 2024-02-27 Applied Materials, Inc. Detector for process kit ring wear
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
KR20210002175A (ko) 2019-06-26 2021-01-07 삼성전자주식회사 센서 모듈 및 이를 구비하는 식각 장치
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
WO2021025934A1 (en) * 2019-08-05 2021-02-11 Lam Research Corporation Edge ring systems for substrate processing systems
TWM602283U (zh) * 2019-08-05 2020-10-01 美商蘭姆研究公司 基板處理系統用之具有升降銷溝槽的邊緣環
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US20220328290A1 (en) * 2019-08-14 2022-10-13 Lam Research Coporation Moveable edge rings for substrate processing systems
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP2021040011A (ja) * 2019-09-02 2021-03-11 キオクシア株式会社 プラズマ処理装置
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11443923B2 (en) * 2019-09-25 2022-09-13 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus for fabricating a semiconductor structure and method of fabricating a semiconductor structure
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210042749A (ko) * 2019-10-10 2021-04-20 삼성전자주식회사 정전 척 및 상기 정전 척을 포함하는 기판 처리 장치
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
JP7263225B2 (ja) * 2019-12-12 2023-04-24 東京エレクトロン株式会社 搬送するシステム及び方法
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
CN115428140A (zh) * 2020-02-24 2022-12-02 朗姆研究公司 具有用于边缘环高度管理的双升降机构的半导体处理室
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP2021150424A (ja) * 2020-03-18 2021-09-27 キオクシア株式会社 エッジリング及びプラズマ処理装置
US11551916B2 (en) * 2020-03-20 2023-01-10 Applied Materials, Inc. Sheath and temperature control of a process kit in a substrate processing chamber
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11749543B2 (en) * 2020-07-06 2023-09-05 Applied Materials, Inc. Chamber matching and calibration
JP7455012B2 (ja) 2020-07-07 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11380575B2 (en) 2020-07-27 2022-07-05 Applied Materials, Inc. Film thickness uniformity improvement using edge ring and bias electrode geometry
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11623321B2 (en) * 2020-10-14 2023-04-11 Applied Materials, Inc. Polishing head retaining ring tilting moment control
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202221833A (zh) 2020-10-19 2022-06-01 日商東京威力科創股份有限公司 載置台及基板處理裝置
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
WO2022108789A1 (en) * 2020-11-19 2022-05-27 Applied Materials, Inc. Ring for substrate extreme edge protection
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022163582A1 (ja) * 2021-01-29 2022-08-04 東京エレクトロン株式会社 プラズマ処理装置
KR20240034250A (ko) * 2021-02-09 2024-03-13 도쿄엘렉트론가부시키가이샤 기판 처리 시스템
CN113097038B (zh) * 2021-02-25 2022-07-15 长江存储科技有限责任公司 刻蚀装置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11721569B2 (en) 2021-06-18 2023-08-08 Applied Materials, Inc. Method and apparatus for determining a position of a ring within a process kit
KR102593139B1 (ko) * 2021-07-28 2023-10-25 세메스 주식회사 지지 유닛, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102427214B1 (ko) * 2021-11-12 2022-08-01 비씨엔씨 주식회사 결합 및 분해가 가능한 반도체용 포커스 링 조립체
WO2023224855A1 (en) * 2022-05-17 2023-11-23 Lam Research Corporation Self-centering edge ring
WO2024030307A1 (en) * 2022-08-03 2024-02-08 Lam Research Corporation System and method to maintain constant clamping pressure during chamber rebooting and power failure instances

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030201069A1 (en) * 2000-09-18 2003-10-30 Johnson Wayne L. Tunable focus ring for plasma processing
US20090067954A1 (en) * 2007-09-07 2009-03-12 Khamsidi Lanee Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
CN102243977A (zh) * 2010-05-12 2011-11-16 东京毅力科创株式会社 等离子体处理装置及半导体装置的制造方法
TW201436089A (zh) * 2013-03-15 2014-09-16 Taiwan Semiconductor Mfg 用於處理半導體底材之裝置

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP2713276B2 (ja) * 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
JP2001525997A (ja) * 1997-05-20 2001-12-11 東京エレクトロン株式会社 処理装置
US6186092B1 (en) * 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
JP2000232149A (ja) * 1999-02-09 2000-08-22 Toshiba Corp 半導体ウエハ収納容器の載置位置決め機構
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP4416892B2 (ja) * 2000-01-04 2010-02-17 株式会社アルバック マスク及び真空処理装置
JP2001230239A (ja) * 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
JP3388228B2 (ja) * 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
JP2003282680A (ja) * 2002-03-20 2003-10-03 Seiko Epson Corp 基板リフター、クランプリング及び基板処理装置
US6868302B2 (en) * 2002-03-25 2005-03-15 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus
JP4323764B2 (ja) * 2002-07-16 2009-09-02 大日本スクリーン製造株式会社 熱処理装置
US6896765B2 (en) * 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US7138067B2 (en) * 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
CN101010447B (zh) * 2004-10-15 2010-09-01 株式会社日立国际电气 基板处理装置及半导体装置的制造方法
JP2006173223A (ja) * 2004-12-14 2006-06-29 Toshiba Corp プラズマエッチング装置およびそれを用いたプラズマエッチング方法
JP4707421B2 (ja) * 2005-03-14 2011-06-22 東京エレクトロン株式会社 処理装置,処理装置の消耗部品管理方法,処理システム,処理システムの消耗部品管理方法
US20070224709A1 (en) * 2006-03-23 2007-09-27 Tokyo Electron Limited Plasma processing method and apparatus, control program and storage medium
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
JP2008300387A (ja) * 2007-05-29 2008-12-11 Speedfam Co Ltd 局所ドライエッチング装置のエッチングガス流制御装置及び方法
JP4858395B2 (ja) * 2007-10-12 2012-01-18 パナソニック株式会社 プラズマ処理装置
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US7754518B2 (en) * 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
US8287650B2 (en) * 2008-09-10 2012-10-16 Applied Materials, Inc. Low sloped edge ring for plasma processing chamber
US20100122655A1 (en) * 2008-11-14 2010-05-20 Tiner Robin L Ball supported shadow frame
JP5071437B2 (ja) * 2009-05-18 2012-11-14 パナソニック株式会社 プラズマ処理装置及びプラズマ処理装置におけるトレイの載置方法
JP5650935B2 (ja) * 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
US8409995B2 (en) * 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
US8270141B2 (en) * 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5719599B2 (ja) * 2011-01-07 2015-05-20 東京エレクトロン株式会社 基板処理装置
US20120237682A1 (en) * 2011-03-18 2012-09-20 Applied Materials, Inc. In-situ mask alignment for deposition tools
JP6003011B2 (ja) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
JP2012222235A (ja) * 2011-04-12 2012-11-12 Hitachi High-Technologies Corp プラズマ処理装置
KR101744668B1 (ko) 2011-05-31 2017-06-08 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US9376752B2 (en) * 2012-04-06 2016-06-28 Applied Materials, Inc. Edge ring for a deposition chamber
KR101974420B1 (ko) * 2012-06-08 2019-05-02 세메스 주식회사 기판처리장치 및 방법
US9997381B2 (en) * 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
CN106304597B (zh) * 2013-03-12 2019-05-10 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030201069A1 (en) * 2000-09-18 2003-10-30 Johnson Wayne L. Tunable focus ring for plasma processing
US20090067954A1 (en) * 2007-09-07 2009-03-12 Khamsidi Lanee Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
CN102243977A (zh) * 2010-05-12 2011-11-16 东京毅力科创株式会社 等离子体处理装置及半导体装置的制造方法
TW201436089A (zh) * 2013-03-15 2014-09-16 Taiwan Semiconductor Mfg 用於處理半導體底材之裝置

Also Published As

Publication number Publication date
JP2021073705A (ja) 2021-05-13
TW201639074A (zh) 2016-11-01
JP2016146472A (ja) 2016-08-12
US20190013232A1 (en) 2019-01-10
US20210183687A1 (en) 2021-06-17
CN105810609A (zh) 2016-07-27
JP6863941B2 (ja) 2021-04-21
KR20230144988A (ko) 2023-10-17
US20160211166A1 (en) 2016-07-21
TW201901850A (zh) 2019-01-01
SG10201808035YA (en) 2018-10-30
CN110010432A (zh) 2019-07-12
TW202125697A (zh) 2021-07-01
JP6783521B2 (ja) 2020-11-11
TWI717638B (zh) 2021-02-01
US10658222B2 (en) 2020-05-19
SG10201906516XA (en) 2019-08-27
SG10201600319VA (en) 2016-08-30
KR20180110653A (ko) 2018-10-10
TWI704645B (zh) 2020-09-11
KR20210080300A (ko) 2021-06-30
TWI766510B (zh) 2022-06-01
KR102537053B1 (ko) 2023-05-25
KR20160088820A (ko) 2016-07-26
JP2019024109A (ja) 2019-02-14

Similar Documents

Publication Publication Date Title
CN105810609B (zh) 半导体晶片处理期间控制边缘处理的可移动边缘耦合环
US11605546B2 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10541168B2 (en) Edge ring centering method using ring dynamic alignment data
CN109844176B (zh) 带有小间隙的销升降器组件
JP2017183701A (ja) エッジリング特性評価を実行するためのシステムおよび方法
CN110506326B (zh) 可移动的边缘环设计
JP2020512701A (ja) ボトムおよびミドルエッジリング
WO2021025934A1 (en) Edge ring systems for substrate processing systems
US20230369025A1 (en) High precision edge ring centering for substrate processing systems
TWM645894U (zh) 邊緣環系統以及其蓋環、可動頂環、及底環

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant