KR20160088820A - 반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링 - Google Patents

반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링 Download PDF

Info

Publication number
KR20160088820A
KR20160088820A KR1020160004905A KR20160004905A KR20160088820A KR 20160088820 A KR20160088820 A KR 20160088820A KR 1020160004905 A KR1020160004905 A KR 1020160004905A KR 20160004905 A KR20160004905 A KR 20160004905A KR 20160088820 A KR20160088820 A KR 20160088820A
Authority
KR
South Korea
Prior art keywords
edge coupling
coupling ring
ring
actuator
edge
Prior art date
Application number
KR1020160004905A
Other languages
English (en)
Other versions
KR102537053B1 (ko
Inventor
하오꽌 얀
하오? 얀
로버트 그리피스 오닐
라파엘 카사이스
존 맥케즈니
알렉스 패터슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/598,943 external-priority patent/US11605546B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160088820A publication Critical patent/KR20160088820A/ko
Application granted granted Critical
Publication of KR102537053B1 publication Critical patent/KR102537053B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/023Means for mechanically adjusting components not otherwise provided for
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy

Abstract

기판 프로세싱 시스템은 프로세싱 챔버 및 프로세싱 챔버 내에 배치된 페데스탈을 포함한다. 에지 커플링 링은 페데스탈의 방사상으로 외측 에지에 인접하게 배치된다. 제 1 액추에이터는 로봇 암으로 하여금 프로세싱 챔버로부터 에지 커플링 링을 제거하게 하도록 에지 커플링 링과 페데스탈 사이에 틈 (clearance) 을 제공하기 위해 페데스탈에 대해 상승된 위치로 에지 커플링 링을 선택적으로 이동시키도록 구성된다.

Description

반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링{MOVEABLE EDGE COUPLING RING FOR EDGE PROCESS CONTROL DURING SEMICONDUCTOR WAFER PROCESSING}
본 개시는 기판 프로세싱 시스템들에 관한 것이고, 보다 구체적으로 기판 프로세싱 시스템들의 에지 커플링 링들에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼와 같은 기판들의 에칭 및/또는 다른 처리를 수행하도록 사용될 수도 있다. 기판은 기판 프로세싱 시스템의 프로세싱 챔버 내에서 페데스탈 상에 배치될 수도 있다. 예를 들어 PECVD (plasma enhanced chemical vapor deposition) 프로세스의 에칭 동안, 하나 이상의 전구체들을 포함하는 가스 혼합물은 프로세싱 챔버 내로 도입되고 플라즈마는 기판을 에칭하도록 스트라이킹된다.
에지 커플링 링들은 기판의 방사상 외측 에지 근방에서 플라즈마의 에칭 프로파일 및/또는 에칭 레이트를 조정하도록 사용된다. 에지 커플링 링은 통상적으로 기판의 방사상 외측 에지 둘레의 페데스탈 상에 위치된다. 기판의 방사상 외측 에지에서의 프로세스 조건들은 에지 커플링 링의 위치, 에지 커플링 링의 내측 에지의 형상 또는 프로파일, 기판의 상부 표면에 대한 에지 커플링 링의 높이, 에지 커플링 링의 재료, 등을 변화시킴으로써 수정될 수 있다.
에지 커플링 링을 변화시키는 것은 프로세싱 챔버가 개방될 것을 요구하고, 이는 바람직하지 않다. 즉, 에지 커플링 링의 에지 커플링 효과는 프로세싱 챔버를 개방하지 않고 변경될 수 없다. 에칭 동안 에지 커플링 링이 플라즈마에 의해 부식될 때, 에지 커플링 효과가 변화한다. 에지 커플링 링의 부식을 보정하는 것은 에지 커플링 링을 교체하기 위해 프로세싱 챔버가 개방될 것을 요구한다.
이제 도 1 및 도 2를 참조하면, 기판 프로세싱 시스템은 페데스탈 (20) 및 에지 커플링 링 (30) 을 포함할 수도 있다. 에지 커플링 링 (30) 은 단일 피스이거나 2 이상의 부분들을 포함할 수도 있다. 도 1 및 도 2의 예에서, 에지 커플링 링 (30) 은 기판 (33) 의 방사상 외측 에지 근방에 배치된 제 1 환형부 (32) 를 포함한다. 제 2 환형부 (34) 는 기판 (33) 아래에서 제 1 환형부로부터 방사상 내측으로 위치된다. 제 3 환형부 (36) 는 제 1 환형부 (32) 아래에 배치된다. 사용 동안, 플라즈마 (42) 는 기판 (33) 의 노출된 부분들을 에칭하기 위해 기판 (33) 으로 지향된다. 에지 커플링 링 (30) 은 기판 (33) 의 균일한 에칭이 발생하도록 플라즈마를 성형하는 것을 돕도록 배치된다.
도 2에서, 에지 커플링 링 (30) 이 사용된 후, 에지 커플링 링 (30) 의 방사상 내측부의 상부 표면은 48로 식별된 바와 같이 부식을 나타낼 수도 있다. 그 결과, 플라즈마 (42) 는 44에서 알 수 있는 바와 같이 에지 커플링 링 (30) 의 방사상 내측부의 에칭보다 보다 빠른 레이트로 기판 (33) 의 방사상 외측 에지를 에칭하는 경향이 있을 수도 있다.
기판 프로세싱 시스템은 프로세싱 챔버 및 프로세싱 챔버 내에 배치된 페데스탈을 포함한다. 에지 커플링 링은 페데스탈의 방사상으로 외측 에지에 인접하게 배치된다. 제 1 액추에이터는 로봇 암으로 하여금 프로세싱 챔버로부터 에지 커플링 링을 제거하게 하도록 에지 커플링 링과 페데스탈 사이에 틈 (clearance) 을 제공하기 위해 페데스탈에 대해 상승된 위치로 에지 커플링 링을 선택적으로 이동시키도록 구성된다.
다른 특징들에서, 리프팅 링이 에지 커플링 링의 적어도 일부 아래에 배치된다. 제 1 액추에이터는 리프팅 링을 편향시키고 (bias), 리프팅 링은 에지 커플링 링을 편향시킨다. 필라 (pillar) 가 제 1 액추에이터와 리프팅 링 사이에 배치된다. 로봇 암은 에지 커플링 링 및 리프팅 링이 상승된 위치에 있을 때 프로세싱 챔버로부터 에지 커플링 링을 제거하도록 구성된다. 홀더는 로봇 암에 연결된다. 홀더는 에지 커플링 링 상의 자가-센터링 (self-centering) 피처와 메이팅하는 (mate) 자가-센터링 피처를 포함한다. 에지 커플링 링은 리프팅 링 상의 자가-센터링 피처와 메이팅하는 자가-센터링 피처를 포함한다.
다른 특징들에서, 하단 에지 커플링 링이 에지 커플링 링의 적어도 일부 및 리프팅 링 아래에 배치된다. 하단 에지 커플링 링은 리프팅 링 상의 자가-센터링 피처와 메이팅하는 자가-센터링 피처를 포함한다.
다른 특징들에서, 리프팅 링은 방사상 외측으로 연장하는 돌출부를 포함한다. 돌출부는 돌출부의 하단 대면 표면 상에 형성된 홈부를 포함한다. 홈부는 에지 커플링 링이 리프팅될 때 필라에 의해 편향된다.
다른 특징들에서, 로봇 암은 프로세싱 챔버가 대기압에 개방될 것을 요구하지 않고 프로세싱 챔버로부터 에지 커플링 링을 제거한다. 제 2 액추에이터는 에지 커플링 링의 에지 커플링 프로파일을 변경하도록 리프팅 링에 대해 에지 커플링 링을 이동시키도록 구성된다. 중간 에지 커플링 링이 에지 커플링 링의 적어도 일부와 리프팅 링 사이에 배치된다. 중간 에지 커플링 링은 제 2 액추에이터가 리프팅 링에 대해 에지 커플링 링을 이동시킬 때 정지상태로 남는다.
다른 특징들에서, 제어기는 에지 커플링 링의 플라즈마-대면 표면의 부식에 응답하여 제 2 액추에이터를 사용하여 에지 커플링 링을 이동시키도록 구성된다. 제어기는 에지 커플링 링이 미리결정된 수의 에칭 사이클들에 노출된 후 제 2 액추에이터를 사용하여 에지 커플링 링을 자동으로 이동시키도록 구성된다. 제어기는 에지 커플링 링이 미리결정된 기간의 에칭에 노출된 후 제 2 액추에이터를 사용하여 에지 커플링 링을 자동으로 이동시키도록 구성된다.
다른 특징들에서, 센서가 제어기와 통신하고 에지 커플링 링의 부식을 검출하도록 구성된다. 로봇 암이 제어기와 통신하고 센서의 위치를 조정하도록 구성된다. 제어기는 제 1 에지 커플링 효과를 사용하는 기판의 제 1 처리를 위해 제 2 액추에이터를 사용하여 제 1 위치로 에지 커플링 링을 이동시키고, 이어서 제 1 에지 커플링 효과와 상이한 제 2 에지 커플링 효과를 사용하는 기판의 제 2 처리를 위해 제 2 액추에이터를 사용하여 제 2 위치로 에지 커플링 링을 이동시키도록 구성된다.
기판 프로세싱 시스템 내에서 에지 커플링 링을 유지하기 위한 방법은, 프로세싱 챔버 내에서 페데스탈의 방사상으로 외측 에지에 인접하게 에지 커플링 링을 배치하는 단계; 페데스탈에 대해 상승된 위치로 에지 커플링 링을 선택적으로 이동시키기 위해 제 1 액추에이터를 사용하는 단계; 및 에지 커플링 링이 상승된 위치에 있을 때 로봇 암을 사용하여 에지 커플링 링을 교체하는 단계를 포함한다.
다른 특징들에서, 방법은 에지 커플링 링의 적어도 일부 아래에 리프팅 링을 배치하는 단계를 포함한다. 액추에이터는 리프팅 링을 편향시키고 리프팅 링은 에지 커플링 링을 편향시킨다. 방법은 제 1 액추에이터와 리프팅 링 사이에 필라를 배치하는 단계를 포함한다. 방법은 로봇 암에 홀더를 부착하는 단계를 포함한다. 홀더는 에지 커플링 링 상의 자가-센터링 피처와 메이팅하는 자가-센터링 피처를 포함한다. 방법은 리프팅 링 상의 자가-센터링 피처와 메이팅하도록 에지 커플링 링 상의 자가-센터링 피처를 사용하는 단계를 포함한다.
다른 특징들에서, 방법은 에지 커플링 링의 적어도 일부 및 리프팅 링 아래에 하단 에지 커플링 링을 배치하는 단계를 포함한다. 방법은 리프팅 링 상의 자가-센터링 피처와 메이팅하도록 하단 에지 커플링 링 상의 자가-센터링 피처를 사용하는 단계를 포함한다. 리프팅 링은 방사상 외측으로 연장하는 돌출부를 포함한다. 돌출부는 돌출부의 하단 대면 표면 상에 형성된 홈부를 포함한다. 홈부는 에지 커플링 링이 리프팅될 때 필라에 의해 편향된다.
다른 특징들에서, 에지 커플링 링의 에지 커플링 프로파일을 변경하도록 제 2 액추에이터를 사용하여 리프팅 링에 대해 에지 커플링 링을 이동시키는 단계를 포함한다. 방법은 에지 커플링 링의 적어도 일부와 리프팅 링 사이에 중간 에지 커플링 링을 배치하는 단계를 포함하고, 중간 에지 커플링 링은 제 2 액추에이터가 리프팅 링에 대해 에지 커플링 링을 이동시킬 때 정지상태로 남는다.
다른 특징들에서, 방법은 에지 커플링 링의 플라즈마-대면 표면의 부식에 응답하여 제 2 액추에이터를 사용하여 에지 커플링 링을 이동시키는 단계를 포함한다. 방법은 에지 커플링 링이 미리결정된 수의 에칭 사이클들에 노출된 후 에지 커플링 링을 자동으로 이동시키는 단계를 포함한다. 방법은 에지 커플링 링이 미리결정된 기간의 에칭에 노출된 후 에지 커플링 링을 자동으로 이동시키는 단계를 포함한다.
다른 특징들에서, 방법은 센서를 사용하여 에지 커플링 링의 부식을 검출하는 단계를 포함한다. 방법은 제 1 에지 커플링 효과를 사용하는 기판의 제 1 처리를 위해 제 2 액추에이터를 사용하여 제 1 위치로 에지 커플링 링을 이동시키고, 이어서 제 1 에지 커플링 효과와 상이한 제 2 에지 커플링 효과를 사용하는 기판의 제 2 처리를 위해 제 2 액추에이터를 사용하여 제 2 위치로 에지 커플링 링을 이동시키는 단계를 포함한다.
본 개시의 다른 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 종래기술에 따른 페데스탈 및 에지 커플링 링의 측단면도이다.
도 2는 에지 커플링 링의 부식이 발생한 후 종래기술에 따른 페데스탈 및 에지 커플링 링의 측단면도이다.
도 3은 본 개시에 따른 페데스탈, 에지 커플링 링 및 액추에이터의 예의 측단면도이다.
도 4는 에지 커플링 링의 부식이 발생한 후 도 3의 페데스탈, 에지 커플링 링 및 액추에이터의 예의 측단면도이다.
도 5는 에지 커플링 링의 부식이 발생하고 액추에이터가 이동한 후 도 3의 페데스탈, 에지 커플링 링 및 액추에이터의 예의 측단면도이다.
도 6은 본 개시에 따른 또 다른 위치에 위치된 페데스탈, 에지 커플링 링 및 액추에이터의 또 다른 예의 측단면도이다.
도 7은 본 개시에 따른 페데스탈, 에지 커플링 링 및 압전 액추에이터의 또 다른 예의 측단면도이다.
도 8은 부식이 발생하고 압전 액추에이터가 이동한 후 도 7의 페데스탈, 에지 커플링 링 및 압전 액추에이터의 또 다른 예의 측단면도이다.
도 9는 본 개시에 따른 페데스탈, 에지 커플링 링 및 액추에이터를 포함하는 기판 프로세싱 챔버의 예의 기능 블록도이다.
도 10은 본 개시에 따라 에지 커플링 링을 이동시키도록 액추에이터를 동작시키기 위한 방법의 예의 단계들을 예시하는 흐름도이다.
도 11은 본 개시에 따라 에지 커플링 링을 이동시키도록 액추에이터를 동작시키기 위한 방법의 또 다른 예의 단계들을 예시하는 흐름도이다.
도 12는 본 개시에 따른 프로세싱 챔버의 외부에 배치된 액추에이터들에 의해 이동식 에지 커플링 링을 포함하는 프로세싱 챔버의 예의 기능 블록도이다.
도 13a 및 도 13b는 본 개시에 따른 에지 커플링 링의 좌우 (side-to-side) 틸팅의 예를 예시한다.
도 14는 기판의 프로세싱 동안 에지 커플링 링을 이동시키기 위한 방법의 예를 예시한다.
도 15는 에지 커플링 링 및 리프팅 링을 포함하는 페데스탈의 예의 평면도이다.
도 16은 에지 커플링 링 및 리프팅 링의 예의 측단면도이다.
도 17은 리프팅 링에 의해 리프팅될 에지 커플링 링 및 로봇 암에 의해 제거될 에지 커플링 링의 예의 측단면도이다.
도 18은 이동식 에지 커플링 링 및 리프팅 링의 예의 측단면도이다.
도 19는 상승된 위치의 도 18의 이동식 에지 커플링 링의 측단면도이다.
도 20은 리프팅 링에 의해 리프팅될 도 18의 에지 커플링 링 및 로봇 암에 의해 제거될 에지 커플링 링의 측단면도이다.
도 21은 이동식 에지 커플링 링의 예의 측단면도이다.
도 22는 액추에이터에 의해 리프팅되고 로봇 암에 의해 제거될 도 21의 에지 에지 커플링 링의 측단면도이다.
도 23은 프로세싱 챔버를 개방하지 않고 에지 커플링 링을 교체하기 위한 방법의 예이다.
도 24는 부식때문에 에지 커플링 링을 이동시키고 프로세싱 챔버를 개방하지 않고 에지 커플링 링을 교체하기 위한 방법의 예이다.
도 25는 부식때문에 에지 커플링 링을 상승시키고 프로세싱 챔버를 개방하지 않고 에지 커플링 링을 교체하기 위한 방법의 예이다.
도면들에서, 참조 번호들은 유사한 및/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
관련 출원들에 대한 교차 참조
본 개시는 2015년 1월 22일 출원된 미국 특허 출원 제 14/598,943 호의 일부 계속 출원이다. 상기 참조된 출원의 전체 개시는 본 명세서에 참조로서 인용된다.
본 개시는 에지 커플링 링의 하나 이상의 부분들이 기판 프로세싱 시스템 내에서 기판 또는 페데스탈에 대해 수직으로 및/또는 수평으로 이동되게 한다. 이동은 프로세싱 챔버가 개방될 것을 요구하지 않고, 에칭 또는 다른 기판 처리 동안 기판에 대한 플라즈마의 에지 커플링 효과를 변화시킨다.
이제 도 3 내지 도 5를 참조하면, 기판 프로세싱 시스템은 페데스탈 (20) 및 에지 커플링 링 (60) 을 포함한다. 에지 커플링 링 (60) 은 단일 부분으로 이루어질 수도 있고 또는 2 이상의 부분들이 사용될 수도 있다. 도 3 내지 도 5의 예에서, 에지 커플링 링 (60) 은 기판 (33) 의 방사상으로 외부에 배치된 제 1 환형부 (72) 를 포함한다. 제 2 환형부 (74) 는 기판 (33) 아래에서 제 1 환형부 (72) 로부터 방사상 내측으로 위치된다. 제 3 환형부 (76) 는 제 1 환형부 (72) 아래에 배치된다.
액추에이터 (80) 는 이하에 더 기술될 바와 같이 기판 (33) 에 대해 에지 커플링 링 (60) 의 하나 이상의 부분들을 이동시키도록 다양한 위치들에 배치될 수도 있다. 단지 예를 들면, 도 3에서 액추에이터 (80) 는 에지 커플링 링 (60) 의 제 1 환형부 (72) 와 에지 커플링 링 (60) 의 제 3 환형부 (76) 사이에 배치된다. 일부 예들에서, 액추에이터 (80) 는 압전 액추에이터, 스텝퍼 모터, 공압 구동부, 또는 다른 적합한 액추에이터를 포함할 수도 있다. 일부 예들에서, 1, 2, 3 또는 4 이상의 액추에이터들이 사용된다. 일부 예들에서, 복수의 액추에이터들이 에지 커플링 링 (60) 둘레에 균일하게 배치된다. 액추에이터(들) (80) 는 프로세싱 챔버의 내부 또는 외부에 배치될 수도 있다.
사용 동안, 플라즈마 (82) 는 기판 (33) 의 노출된 부분들을 에칭하기 위해 기판 (33) 으로 지향된다. 에지 커플링 링 (60) 은 기판 (33) 의 균일한 에칭이 발생하도록 플라즈마 전기장을 성형하는 것을 돕도록 배치된다. 도 4의 84 및 86에서 알 수 있는 바와 같이, 에지 커플링 링 (60) 의 하나 이상의 부분들이 플라즈마 (82) 에 의해 부식될 수도 있다. 부식의 결과로서, 기판 (33) 의 불균일한 에칭이 기판 (33) 의 방사상 외측 에지 근방에서 발생할 수도 있다. 보통, 프로세스는 정지되고, 프로세싱 챔버는 개방되고 에지 커플링 링이 교체되어야 한다.
도 5에서, 액추에이터 (80) 는 에지 커플링 링 (60) 의 하나 이상의 부분들의 위치를 변경하기 위해 에지 커플링 링 (60) 의 하나 이상의 부분들을 이동시키도록 사용된다. 예를 들어, 액추에이터 (80) 는 에지 커플링 링 (60) 의 제 1 환형부 (72) 를 이동시키도록 사용될 수도 있다. 이 예에서, 액추에이터 (80) 는 에지 커플링 링 (60) 의 제 1 환형부 (72) 의 에지 (86) 가 기판 (33) 의 방사상 외측 에지에 대해 보다 높도록 상향으로 또는 수직 방향으로 에지 커플링 링 (60) 의 제 1 환형부 (72) 를 이동시킨다. 그 결과, 기판 (33) 의 방사상 외측 에지 근방에서 에칭 균일도가 개선된다.
이제 도 6을 참조하면, 알 수 있는 바와 같이, 액추에이터는 하나 이상의 다른 위치들에 배치될 수도 있고 수평, 대각선 등과 같은 다른 방향들로 이동할 수도 있다. 에지 커플링 링의 부분의 수평 이동은 기판에 대해 에지 커플링 효과를 센터링 (center) 하도록 수행될 수도 있다. 도 6에서, 액추에이터 (110) 는 에지 커플링 링 (60) 의 방사상으로 외부에 배치된다. 부가적으로, 액추에이터 (110) 는 수평 (또는 좌우로) 방향뿐만 아니라 수직 (또는 위/아래로) 방향으로 이동한다. 수평으로 위치를 옮기는 것 (repositioning) 은 기판들의 에칭이 기판들에 대한 에지 커플링 링의 수평 오프셋을 나타낼 때 사용될 수도 있다. 수평 오프셋은 프로세싱 챔버를 개방하지 않고 보정될 수도 있다. 유사하게, 에지 커플링 링의 틸팅은 좌우 비대칭을 보정하거나 생성하지 않고 액추에이터들 중 일부를 나머지 액추에이터들과 상이하게 액추에이팅함으로써 수행될 수도 있다.
액추에이터 (110) 를 에지 커플링 링의 환형부들 사이에 위치시키는 대신, 액추에이터 (110) 는 또한 114에서 식별된 방사상 외측 벽 또는 다른 구조로 부착될 수도 있다. 대안적으로, 액추에이터 (110) 는 116에서 식별된 벽 또는 다른 구조에 의해 아래로부터 지지될 수도 있다.
이제 도 7 및 도 8을 참조하면, 에지 커플링 링 (150) 및 압전 액추에이터 (154) 의 또 다른 예가 도시된다. 이 예에서, 압전 액추에이터 (154) 는 에지 커플링 링 (150) 을 이동시킨다. 압전 액추에이터 (154) 는 에지 커플링 링 (60) 의 제 1 환형부 (72) 및 제 3 환형부 (76) 내에 장착된다. 도 8에서, 압전 액추에이터 (154) 는 제 1 환형부 (72) 의 에지 (156) 의 위치를 조정하기 위해 에지 커플링 링 (150) 의 제 1 환형부 (72) 를 이동시킨다.
이제 도 9를 참조하면, RF 플라즈마를 사용하여 에칭을 수행하기 위한 기판 프로세싱 챔버 (500) 의 예가 도시된다. 기판 프로세싱 챔버 (500) 는 기판 프로세싱 챔버 (500) 의 다른 컴포넌트들을 둘러싸고 RF 플라즈마를 담는 프로세싱 챔버 (502) 를 포함한다. 기판 프로세싱 챔버 (500) 는 상부 전극 (504) 및 하부 전극 (507) 을 포함하는 페데스탈 (506) 을 포함한다. 에지 커플링 링 (503) 은 페데스탈 (506) 에 의해 지지되고 기판 (508) 둘레에 배치된다. 하나 이상의 액추에이터들 (505) 이 에지 커플링 링 (503) 을 이동시키도록 사용될 수도 있다. 동작 동안, 기판 (508) 은 상부 전극 (504) 과 하부 전극 (507) 사이의 페데스탈 (506) 상에 배치된다.
단지 예를 들면, 상부 전극 (504) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드 (509) 를 포함할 수도 있다. 샤워헤드 (509) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함하는 스템부를 포함할 수도 있다. 베이스부는 대체로 원통형이고 프로세싱 챔버의 상단 표면으로부터 이격된 위치에서 스템부의 반대 단부로부터 방사상 외측으로 연장한다. 샤워헤드의 기판-대면 표면 또는 대면 플레이트는 프로세스 가스 또는 퍼지 가스가 흐르는 복수의 홀들을 포함한다. 대안적으로, 상부 전극 (504) 은 도전 플레이트를 포함할 수도 있고 또 다른 방식으로 도입될 수도 있다. 하부 전극 (507) 은 비도전성 페데스탈 내에 배치될 수도 있다. 대안적으로, 페데스탈 (506) 은 하부 전극 (507) 으로서 작동하는 도전성 플레이트를 포함하는 정전 척을 포함할 수도 있다.
RF 생성 시스템 (510) 은 RF 전압을 생성하고 상부 전극 (504) 및 하부 전극 (507) 중 하나로 RF 전압을 출력한다. 상부 전극 (504) 및 하부 전극 (507) 중 다른 하나는 DC 접지되거나, AC 접지되거나 플로팅할 수도 있다. 단지 예를 들면, RF 생성 시스템 (510) 은 매칭 및 분배 네트워크 (512) 에 의해 상부 전극 (504) 또는 하부 전극 (507) 으로 피드된 RF 전압을 생성하는 RF 전압 생성기 (511) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도성으로 또는 원격으로 생성될 수도 있다.
가스 전달 시스템 (530) 은 하나 이상의 가스 소스들 (532-1, 532-2, …, 및 532-N (집합적으로 가스 소스들 (532)) 을 포함하고, N은 0보다 큰 정수이다. 가스 소스들은 하나 이상의 전구체들 및 이들의 혼합물들을 공급한다. 가스 소스들은 또한 퍼지 가스를 공급할 수도 있다. 기화된 전구체가 또한 사용될 수도 있다. 가스 소스들 (532) 은 밸브들 (534-1, 534-2, …, 및 534-N (집합적으로 밸브들 (534)) 및 질량 유량 제어기들 (mass flow controllers) (536-1, 536-2, …, 및 536-N (집합적으로 질량 유량 제어기들 (536)) 에 의해 매니폴드 (540) 에 연결된다. 매니폴드 (540) 의 출력은 프로세싱 챔버 (502) 로 피드된다. 단지 예를 들면, 매니폴드 (540) 의 출력은 샤워헤드 (509) 로 피드된다.
히터 (542) 는 페데스탈 (506) 내에 배치된 히터 코일 (미도시) 에 연결될 수도 있다. 히터 (542) 는 페데스탈 (506) 및 기판 (508) 의 온도를 제어하도록 사용될 수도 있다. 밸브 (550) 및 펌프 (552) 는 프로세싱 챔버 (502) 로부터 반응물질들을 배기하도록 사용될 수도 있다. 제어기 (560) 는 기판 프로세싱 챔버 (500) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 제어기 (560) 는 또한 에지 커플링 링 (503) 의 하나 이상의 부분들의 위치를 조정하기 위해 액추에이터 (505) 를 제어하도록 사용될 수도 있다.
로봇 (570) 및 센서들 (572) 은 에지 커플링 링의 부식을 측정하도록 사용될 수도 있다. 일부 예들에서, 센서들 (572) 은 깊이 게이지를 포함할 수도 있다. 로봇 (570) 은 부식을 측정하기 위해 에지 커플링 링과 콘택트하는 깊이 게이지를 이동시킬 수도 있다. 대안적으로, (로봇 (570) 을 갖거나 갖지 않는) 레이저 간섭계가 직접적인 콘택트 없이 부식을 측정하도록 사용될 수도 있다. 로봇 (570) 은 레이저 간섭계가 에지 커플링 링에 대해 직선의 시선으로 위치될 수 있다면 생략될 수도 있다.
또 다른 로봇 (573) 이 페데스탈 (506) 상으로 기판들을 전달하고 제거하기 위해 사용될 수도 있다. 부가적으로, 로봇 암 (573) 은 리프팅 링 상으로 사용되지 않은 에지 커플링 링들을 전달하고 도 15 내지 도 23과 함께 이하에 더 기술될 충분한 마모 후에 사용된 에지 커플링 링들을 교체하도록 사용될 수도 있다. 동일한 로봇 암 (573) 이 기판들 및 에지 커플링 링들 양자에 대해 사용될 수도 있지만, 전용 로봇 암들이 또한 사용될 수도 있다.
이제 도 10을 참조하면, 에지 커플링 링을 이동시키기 위해 액추에이터를 동작시키기 위한 방법 (600) 의 예가 도시된다. 610에서, 에지 커플링 링의 적어도 일부는 기판에 대해 제 1 위치에 위치된다. 614에서, 기판 프로세싱 시스템이 동작된다. 동작은 기판의 에칭 또는 다른 처리를 포함할 수도 있다. 618에서, 제어는 미리결정된 기간의 에칭 또는 미리결정된 수의 에칭 사이클들이 발생했는지 여부를 결정한다. 618에서 결정될 때 미리결정된 기간 또는 수의 사이클들이 초과되지 않으면, 제어는 614로 돌아간다.
미리결정된 기간 또는 수의 사이클들이 끝날 때, 제어는 624에서 최대 미리결정된 에칭 기간이 끝났는지 여부, 최대 수의 에칭 사이클들이 발생하였는지 및/또는 최대 수의 액추에이터 이동들이 발생하였는지 여부를 결정한다.
624가 부정 (false) 이면, 제어는 액추에이터를 사용하여 에지 커플링 링의 적어도 일부를 이동시킨다. 에지 커플링 링의 이동은 프로세싱 챔버를 개방하지 않고 자동으로 또는 수동으로 또는 이들의 조합으로 수행될 수 있다. 624가 참이면, 제어는 에지 커플링 링이 서비스/교체되어야 한다는 메시지를 전송하거나 달리 나타낸다.
이제 도 11을 참조하면, 에지 커플링 링을 이동시키기 위해 액추에이터를 동작시키기 위한 방법 (700) 의 예가 도시된다. 710에서, 에지 커플링 링의 적어도 일부는 기판에 대한 제 1 위치에 위치된다. 714에서, 기판 프로세싱 시스템이 동작한다. 동작은 기판의 에칭 또는 다른 처리를 포함할 수도 있다. 718에서, 제어는 깊이 게이지 또는 레이저 간섭계와 같은 센서를 사용하여 에지 커플링 링의 미리결정된 양의 부식이 발생하였는지 여부를 결정한다. 718이 부정이면, 제어는 714로 돌아간다.
미리결정된 양의 부식이 발생하였을 때, 제어는 724에서 최대량의 부식이 발생하였는지 여부를 결정한다. 724가 부정이면, 제어는 액추에이터를 사용하여 에지 커플링 링의 적어도 일부를 이동시킨다. 에지 커플링 링의 이동은 프로세싱 챔버를 개방하지 않고 자동으로 또는 수동으로 또는 이들의 조합으로 수행될 수 있다. 724가 참이면, 제어는 에지 커플링 링이 서비스/교체되어야 한다는 메시지를 전송하거나 달리 나타낸다.
전술한 바에 더하여, 에지 커플링 링이 이동되어야 하는지 여부의 결정은 프로세싱 후에 기판들의 에칭 패턴들의 검사에 기초할 수도 있다. 액추에이터는 챔버를 개방하지 않고 에지 커플링 링의 에지 커플링 프로파일을 조정하도록 사용될 수도 있다.
이제 도 12를 참조하면, 프로세싱 챔버 (800) 는 페데스탈 (20) 상에 배치된 에지 커플링 링 (60) 을 포함한다. 에지 커플링 링 (60) 은 프로세싱 챔버 (800) 의 외부에 배치된 하나 이상의 액추에이터들 (804) 에 의해 하나 이상의 이동식 부분들을 포함한다. 이 예에서, 제 1 환형부 (72) 는 이동식이다. 액추에이터들 (804) 은 기계적 링크 (810) 에 의해 에지 커플링 링 (60) 의 제 1 환형부 (72) 에 연결될 수도 있다. 예를 들어, 기계적 링크 (810) 는 로드 (rod) 부재를 포함할 수도 있다. 기계적 링크 (810) 는 프로세싱 챔버 (800) 의 벽 (814) 의 홀 (811) 을 통과할 수도 있다. "O"-링과 같은 시일부 (812) 가 사용될 수도 있다. 기계적 링크 (810) 는 에지 커플링 링 (60) 의 제 3 환형부 (76) 와 같은 하나 이상의 구조체들 내의 홀들 (815) 을 통과할 수도 있다.
이제 도 13a 및 도 13b를 참조하면, 에지 커플링 링 (830) 의 좌우 틸팅이 도시된다. 좌우 틸팅은 좌우 오정렬을 보정하도록 사용될 수도 있다. 도 13a에서, 기판의 반대되는 측면들 상의 에지 커플링 링 (830) 의 부분들 (830-1 및 830-2) 은 제 1 배열 (840) 에 배치된다. 부분들 (830-1 및 830-2) 은 대체로 에지 커플링 링 (830) 의 부분들 (832-1 및 832-2) 과 정렬될 수도 있다. 액추에이터들 (836-1 및 836-2) 은 부분들 830-1과 832-1 사이 그리고 830-2와 832-2 사이에 각각 배치된다.
도 13b에서, 액추에이터들 (836-1 및 836-2) 은 에지 커플링 링 (830) 이 도 13a에 도시된 제 1 배열 (840) 과 상이한 제 2 배열 (850) 로 이동하도록 에지 커플링 링 (830) 의 각각의 부분들을 이동시킨다. 알 수 있는 바와 같이, 기판들은 처리 후에 검사될 수도 있고 기판에 대한 틸팅은 필요에 따라 프로세싱 챔버를 개방하지 않고 조정될 수도 있다.
이제 도 14를 참조하면, 기판의 프로세싱 동안 에지 커플링 링을 이동시키기 위한 방법 (900) 이 도시된다. 즉, 상이한 처리들이 동일한 프로세싱 챔버 내에서 단일 기판에 대해 수행될 수도 있다. 에지 커플링 링의 에지 커플링 효과는 후속 기판으로 진행하기 전에 동일한 프로세싱 챔버 내의 기판에 대해 수행된 복수의 처리들 사이에 조정될 수도 있다. 910에서, 기판은 페데스탈 상에 위치되고 에지 커플링 링의 위치는 필요하다면 조정된다. 914에서, 기판의 처리가 수행된다. 918에서 결정될 때 기판의 프로세싱이 완료되었다면, 기판은 922에서 페데스탈로부터 이동한다. 924에서, 제어는 또 다른 기판이 프로세싱되어야 하는지 여부를 결정한다. 924가 참이면, 방법은 910으로 돌아간다. 그렇지 않으면 방법은 종료한다.
918이 거짓이고 기판이 부가적인 처리를 필요로 한다면, 방법은 930에서 에지 커플링 링의 조정이 필요한지 여부를 결정한다. 930이 부정이면, 방법은 914로 돌아간다. 930이 참이면, 934에서 에지 커플링 링의 적어도 일부는 하나 이상의 액추에이터들을 사용하여 이동되고 방법은 914로 돌아간다. 알 수 있는 바와 같이, 에지 커플링 링은 동일한 프로세싱 챔버 내에서 동일한 기판의 처리들 사이에 조정될 수 있다.
이제 도 15를 참조하면, 에지 커플링 링 (1014) 및 리프팅 링 (1018) 은 페데스탈 (1010) 의 상부 표면에 인접하여 둘레에 배치된다. 에지 커플링 링 (1014) 은 상기 기술된 바와 같이 에칭 동안 기판에 인접하게 배치된 방사상 내측 에지를 포함한다. 리프팅 링 (1018) 은 에지 커플링 링 (1014) 의 적어도 일부 아래에 배치된다. 리프팅 링 (1018) 은 로봇 암을 사용하여 에지 커플링 링 (1014) 을 제거할 때 페데스탈 (1010) 의 표면 위로 에지 커플링 링 (1014) 을 상승시키도록 사용된다. 에지 커플링 링 (1014) 은 프로세싱 챔버가 대기압에 대하여 개방될 것을 요구하지 않고 제거될 수 있다. 일부 예들에서, 리프팅 링 (1018) 은 이하에 기술될 바와 같이 에지 커플링 링 (1014) 을 제거하기 위해 로봇 암에 대한 틈을 제공하기 위해 원주형으로 이격된 단부들 (1020) 사이에 개방부 (1019) 를 선택가능하게 포함할 수도 있다.
이제 도 16 및 도 17을 참조하면, 에지 커플링 링 (1014) 및 리프팅 링 (1018) 의 예가 더 상세히 도시된다. 도 16에 도시된 예에서, 페데스탈은 대체로 1021에서 식별된 정전척 (ESC) 을 포함할 수도 있다. ESC (1021) 는 ESC 플레이트들 (1022, 1024, 1030 및 1032) 과 같은 하나 이상의 스택된 플레이트들을 포함할 수도 있다. ESC 플레이트 (1030) 는 중간 ESC 플레이트에 대응할 수도 있고 ESC 플레이트 (1032) 는 ESC 베이스플레이트에 대응할 수도 있다. 일부 예들에서, O-링 (1026) 은 ESC 플레이트들 (1024와 1030) 사이에 배치될 수도 있다. 특정한 페데스탈 (1010) 이 도시되지만, 다른 타입의 페데스탈들이 사용될 수도 있다.
하단 에지 커플링 링 (1034) 은 에지 커플링 링 (1014) 및 리프팅 링 (1018) 아래에 배치될 수도 있다. 하단 에지 커플링 링 (1034) 은 ESC 플레이트들 (1024, 1030 및 1032) 및 O-링 (1026) 의 방사상으로 외부에 인접하게 배치될 수도 있다.
일부 예들에서, 에지 커플링 링 (1014) 은 하나 이상의 자가-센터링 피처들 (1040, 1044 및 1046) 을 포함할 수도 있다. 단지 예를 들면, 자가-센터링 피처들 (1040 및 1044) 은, 다른 형상들이 사용될 수도 있지만, 삼각형 형상의 암 (female) 자가-센터링 피처들일 수도 있다. 자가-센터링 피처 (1046) 는 기울어진 표면일 수도 있다. 리프팅 링 (1018) 은 하나 이상의 자가-센터링 피처들 (1048, 1050 및 1051) 을 포함할 수도 있다. 단지 예를 들면, 자가-센터링 피처들 (1048 및 1050) 은, 다른 형상들이 사용될 수도 있지만, 삼각형 형상의 수 (male) 자가-센터링 피처들일 수도 있다. 자가-센터링 피처 (1051) 는 자가-센터링 피처 (1046) 에 상보적인 형상을 갖는 기울어진 표면일 수도 있다. 리프팅 링 (1018) 상의 자가-센터링 피처 (1048) 는 에지 커플링 링 (1014) 상의 자가-센터링 피처 (1044) 와 메이팅할 수도 있다. 리프팅 링 (1018) 상의 자가-센터링 피처 (1050) 는 하단 에지 커플링 링 (1034) 자가-센터링 피처 (1052) 와 메이팅할 수도 있다.
리프팅 링 (1018) 은 방사상 외측으로 연장하는 돌출부 (1054) 를 더 포함한다. 홈부 (1056) 가 돌출부 (1054) 의 하단-대면 표면 (1057) 상에 배치될 수도 있다. 홈부 (1056) 는 액추에이터 (1064) 에 연결되고 선택적으로 액추에이터 (1064) 에 의해 수직으로 이동되는 필라 (1060) 의 일 단부에 의해 편향되도록 구성된다. 액추에이터 (1064) 는 제어기에 의해 제어될 수도 있다. 알 수 있는 바와 같이, 단일 홈부, 필라 및 액추에이터가 도시되지만, 부가적인 홈부들, 필라들, 및 액추에이터들이 상향 방향으로 리프팅 링 (1018) 을 편향시키기 위해 리프팅 링 (1018) 둘레에 이격된 관계로 원주형을 배치될 수도 있다.
도 17에서, 필라(들) (1060) 및 액추에이터(들) (1064) 를 사용하여 리프팅 링 (1018) 에 의해 상향 방향으로 상승된 에지 커플링 링 (1014) 이 도시된다. 에지 커플링 링 (1014) 은 로봇 암에 의해 프로세싱 챔버로부터 제거될 수 있다. 보다 구체적으로, 로봇 암 (1102) 은 홀더 (1104) 에 의해 에지 커플링 링 (1014) 에 연결된다. 홀더 (1104) 는 에지 커플링 링 (1014) 상의 자가-센터링 피처 (1040) 와 메이팅하는 자가-센터링 피처 (1110) 를 포함할 수도 있다. 알 수 있는 바와 같이, 로봇 암 (1102) 및 홀더 (1104) 는 리프팅 링 (1018) 상의 자가-센터링 피처 (1048) 를 치우기 (clear) 위해 상향으로 에지 커플링 링을 편향시킬 수도 있다. 이어서, 로봇 암 (1102), 홀더 (1104) 및 에지 커플링 링 (1014) 은 프로세싱 챔버 밖으로 이동될 수 있다. 로봇 암 (1102), 홀더 (1104) 및 새로운 에지 커플링 링이 돌아올 수 있고 리프팅 링 (1018) 상에 위치될 수 있다. 이어서, 리프팅 링 (1018) 은 하강된다. 반대되는 동작이 새로운 에지 커플링 링 (1014) 을 리프팅 링 (1018) 상으로 전달하기 위해 사용될 수도 있다.
대안적으로, 리프팅 링 (1018) 의 에지 커플링 링 (1014) 을 리프팅하기 위해 로봇 암 (1102) 및 홀더 (1104) 를 상향으로 리프팅하는 대신, 로봇 암 (1102) 및 홀더 (1104) 는 상승된 에지 커플링 링 (1014) 아래에 콘택트하여 위치될 수 있다. 이어서, 리프팅 링 (1018) 은 하강되고 에지 커플링 링 (1014) 은 로봇 암 (1102) 및 홀더 (1104) 상에 남는다. 로봇 암 (1102), 홀더 (1104) 및 에지 커플링 링 (1014) 은 프로세싱 챔버로부터 제거될 수 있다. 반대되는 동작이 새로운 에지 커플링 링 (1014) 을 리프팅 링 (1018) 상으로 전달하기 위해 사용될 수도 있다.
이제 도 18 내지 도 20을 참조하면, 이동식 에지 커플링 링 (1238) 및 리프팅 링 (1018) 이 도시된다. 도 18에서, 하나 이상의 필라들 (1210) 은 ESC 베이스 플레이트 (1032), 하단 에지 커플링 링 (1034) 및 리프팅 링 (1018) 내에서 보어들 (1220, 1224 및 1228) 각각을 통해 하나 이상의 액추에이터들 (1214) 에 의해 위 아래로 이동된다. 이 예에서, 중간 에지 커플링 링 (1240) 또는 스페이서는 이동식 에지 커플링 링 (1238) 과 리프팅 링 (1018) 사이에 배치된다. 중간 에지 커플링 링 (1240) 은 자가-센터링 피처들 (1244 및 1246) 을 포함할 수도 있다. 대응하는 자가-센터링 피처 (1248) 는 이동식 에지 커플링 링 (1238) 상에 제공될 수도 있다. 자가-센터링 피처 (1248) 는 중간 에지 커플링 링 (1240) 상의 자가-센터링 피처 (1246) 와 메이팅한다.
상기 상세히 기술된 바와 같이, 이동식 에지 커플링 링 (1238) 의 상향으로 대면하는 표면의 부식이 사용 동안 발생할 수도 있다. 이는, 결국, 플라즈마의 프로파일을 변경할 수도 있다. 이동식 에지 커플링 링 (1238) 은 플라즈마의 프로파일을 변경하기 위해 필라들 (1210) 및 액추에이터들 (1214) 을 사용하여 상향 방향으로 선택적으로 이동될 수도 있다. 도 19에서, 도 18의 이동식 에지 커플링 링 (1238) 은 상승된 위치로 도시된다. 중간 에지 커플링 링 (1240) 은 정지상태로 남아 있을 수도 있다. 결국, 이동식 에지 커플링 링 (1238) 은 1회 이상 이동될 수도 있고, 이어서 에지 커플링 링 (1238) 및 중간 에지 커플링 링 (1240) 이 교체될 수도 있다.
도 20에서, 액추에이터 (1214) 는 하강된 상태로 돌아가고 액추에이터 (1064) 는 상승된 상태로 이동된다. 에지 커플링 링 (1238) 및 중간 에지 커플링 링 (1240) 은 리프팅 링 (1018) 에 의해 리프팅되고 이동식 에지 커플링 링 (1238) 은 로봇 암 (1102) 및 홀더 (1104) 에 의해 제거될 수도 있다.
알 수 있는 바와 같이, 액추에이터들은 프로세싱 챔버 내 또는 프로세싱 챔버의 외부에 배치될 수 있다. 일부 예들에서, 에지 커플링 링들은 카세트, 로드록, 이송 챔버들 등을 통해 챔버로 공급될 수도 있다. 대안적으로, 에지 커플링 링들은 프로세싱 챔버의 외부에 저장될 수도 있지만 기판 프로세싱 툴의 내부에 저장될 수도 있다.
이제 도 21 및 도 22를 참조하면, 리프팅 링은 일부 예들에서 생략될 수 있다. 에지 커플링 링 (1310) 은 하단 에지 커플링 링 (1034) 및 페데스탈의 방사상 외측 에지 상에 배치된다. 에지 커플링 링 (1310) 은 하나 이상의 자가-센터링 피처들 (1316 및 1320) 을 포함할 수도 있다. 에지 커플링 링 (1310) 은 액추에이터 (1214) 에 의해 편향되는, 필라 (1210) 의 상단 표면을 수용하기 위한 홈부 (1324) 를 더 포함할 수도 있다. 자가-센터링 피처 (1320) 는 하단 에지 커플링 링 (1034) 의 대응하는 자가-센터링 피처 (1326) 에 기대어 (against) 배치될 수도 있다. 일부 예들에서, 자가-센터링 피처들 (1320 및 1326) 은 경사진 평면들이다.
도 22에서, 액추에이터 (1214) 및 필라 (1210) 는 부식이 발생한 후 에지 커플링 링 (1310) 을 제거하기 위해 또는 플라즈마 프로파일을 조정하기 위해 에지 커플링 링 (1310) 을 상향으로 편향시킨다. 로봇 암 (1102) 및 홀더 (1104) 는 에지 커플링 링 (1310) 아래의 위치로 이동될 수 있다. 자가-센터링 피처 (1316) 는 로봇 암 (1102) 에 연결된 홀더 (1104) 상에 자가-센터링 피처 (1110) 에 의해 인게이지될 수도 있다. 홈부 (1324) 와 필라 (1210) 사이에 틈을 제공하기 위해 로봇 암 (1102) 이 상향 방향으로 이동하거나 홈부 (1324) 에 틈을 제공하기 위해 액추에이터 (1214) 에 의해 필라 (1210) 가 하향으로 이동된다.
이제 도 23을 참조하면, 프로세싱 챔버를 대기압에 대해 개방하지 않고 에지 커플링 링을 교체하기 위한 방법 (1400) 이 도시된다. 1404에서, 방법은 에지 커플링 링이 리프팅 링 상에 위치되었는지 여부를 결정한다. 1404가 부정이면, 방법은 1408에서 로봇 암을 사용하여 에지 커플링 링을 리프팅 링 상의 위치로 이동시킨다. 에지 커플링 링이 프로세싱 챔버 내에서 리프팅 링 상에 위치된 후, 1408에서 프로세스가 실행된다. 1412에서, 방법은 상기 기술된 임의의 기준을 사용하여 에지 커플링 링이 마모되었는지 여부를 결정한다. 1412가 부정이면, 방법은 1408로 돌아가고 프로세스는 다시 실행될 수도 있다. 1412에서 에지 커플링 링이 마모된 것으로 결정되면, 에지 커플링 링은 1416에서 교체되고 방법은 1408에서 계속된다.
이제 도 24를 참조하면, 방법 (1500) 은 이동식 에지 커플링 링이 마모된 것을 결정될 때 부식을 오프셋하도록 필요에 따라 이동식 에지 커플링 링의 위치를 조정하고 선택적으로 이동식 에지 커플링 링을 교체한다. 1502에서, 방법은 이동식 에지 커플링 링이 리프팅 링 상에 위치되는지 여부를 결정한다. 1502가 부정이면, 1504에서 에지 커플링 링은 리프팅 링 상의 위치로 이동되고, 방법은 1502에서 계속된다.
1502가 참이면, 방법은 1506에서 이동식 에지 커플링 링의 위치가 조정되어야 하는지 여부를 결정한다. 1506이 참이면, 방법은 액추에이터를 사용하여 이동식 에지 커플링 링의 위치를 조정하고 1506으로 돌아간다. 1506이 부정일 때, 방법은 1510에서 프로세스를 실행한다. 1512에서, 방법은 이동식 에지 커플링 링이 마모되었는지 여부를 결정한다. 부정이라면, 방법은 1510으로 돌아간다.
1512가 참이면, 방법은 1520에서 이동식 에지 커플링 링이 가장 높은 (또는 완전히 조정된) 위치에 있는지 여부를 결정한다. 1520이 부정이면, 방법은 1524에서 액추에이터 (1214) 를 사용하여 이동식 에지 커플링 링의 위치를 조정하고 방법은 1510으로 돌아간다. 1520이 참이면, 방법은 액추에이터 (1064), 리프팅 링 (1018) 및 로봇 암 (1102) 을 사용하여 이동식 에지 커플링 링을 교체한다.
이제 도 25를 참조하면, 프로세싱 챔버를 대기압에 대해 개방하지 않고 에지 커플링 링을 교체하기 위한 방법 (1600) 이 도시된다. 1610에서, 리프팅 링 및 에지 커플링 링은 액추에이터를 사용하여 상향으로 편향된다. 1620에서, 로봇 암 및 홀더는 에지 커플링 링 아래로 이동된다. 1624에서, 로봇 암이 에지 커플링 링의 자가-센터링 피처들을 치우도록 상향으로 이동되거나 리프팅 링이 하향으로 이동된다. 1628에서, 로봇 암은 에지 커플링 링과 함께 프로세싱 챔버 밖으로 이동된다. 1632에서, 에지 커플링 링은 로봇 암으로부터 탈착된다. 1636에서, 교체 에지 커플링 링이 로봇 암에 의해 픽업 (pick up) 된다. 1638에서, 에지 커플링 링은 리프팅 링 상에 위치되고 하나 이상의 자가-센터링 피처들을 사용하여 정렬된다. 1642에서, 로봇 암은 자가-센터링 피처에 충분한 틈을 허용하도록 하강되고 로봇 암은 챔버로부터 제거된다. 1646에서, 리프팅 링 및 에지 커플링 링이 위치로 하강된다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특별한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리를 변경하지 않고 다른 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (33)

  1. 기판 프로세싱 시스템에 있어서,
    프로세싱 챔버;
    상기 프로세싱 챔버 내에 배치된 페데스탈;
    상기 페데스탈의 방사상으로 외측 에지에 인접하게 배치된 에지 커플링 링; 및
    로봇 암으로 하여금 상기 프로세싱 챔버로부터 상기 에지 커플링 링을 제거하게 하도록 상기 에지 커플링 링과 상기 페데스탈 사이에 틈 (clearance) 을 제공하기 위해 상기 페데스탈에 대해 상승된 위치로 상기 에지 커플링 링을 선택적으로 이동시키도록 구성되는 제 1 액추에이터를 포함하는, 기판 프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 에지 커플링 링의 적어도 일부 아래에 배치된 리프팅 링을 더 포함하고, 상기 제 1 액추에이터는 상기 리프팅 링을 편향시키고 (bias), 상기 리프팅 링은 상기 에지 커플링 링을 편향시키는, 기판 프로세싱 시스템.
  3. 제 2 항에 있어서,
    상기 제 1 액추에이터와 상기 리프팅 링 사이에 배치된 필라 (pillar) 를 더 포함하는, 기판 프로세싱 시스템.
  4. 제 2 항에 있어서,
    상기 에지 커플링 링 및 상기 리프팅 링이 상승된 위치에 있을 때 상기 프로세싱 챔버로부터 상기 에지 커플링 링을 제거하도록 구성된 로봇 암을 더 포함하는, 기판 프로세싱 시스템.
  5. 제 4 항에 있어서,
    상기 로봇 암에 연결된 홀더를 더 포함하고,
    상기 홀더는 상기 에지 커플링 링 상의 자가-센터링 (self-centering) 피처와 메이팅하는 (mate) 자가-센터링 피처를 포함하는, 기판 프로세싱 시스템.
  6. 제 2 항에 있어서,
    상기 에지 커플링 링은 상기 리프팅 링 상의 상기 자가-센터링 피처와 메이팅하는 자가-센터링 피처를 포함하는, 기판 프로세싱 시스템.
  7. 제 2 항에 있어서,
    상기 에지 커플링 링의 적어도 일부 및 상기 리프팅 링 아래에 배치된 하단 에지 커플링 링을 더 포함하는, 기판 프로세싱 시스템.
  8. 제 7 항에 있어서,
    상기 하단 에지 커플링 링은 상기 리프팅 링 상의 자가-센터링 피처와 메이팅하는 자가-센터링 피처를 포함하는, 기판 프로세싱 시스템.
  9. 제 3 항에 있어서,
    상기 리프팅 링은 방사상 외측으로 연장하는 돌출부를 포함하고,
    상기 돌출부는 상기 돌출부의 하단 대면 표면 상에 형성된 홈부를 포함하고,
    상기 홈부는 상기 에지 커플링 링이 리프팅될 때 상기 필라에 의해 편향되는, 기판 프로세싱 시스템.
  10. 제 1 항에 있어서,
    상기 로봇 암은 상기 프로세싱 챔버가 대기압에 개방될 것을 요구하지 않고 상기 프로세싱 챔버로부터 상기 에지 커플링 링을 제거하는, 기판 프로세싱 시스템.
  11. 제 2 항에 있어서,
    상기 에지 커플링 링의 에지 커플링 프로파일을 변경하도록 상기 리프팅 링에 대해 상기 에지 커플링 링을 이동시키도록 구성된 제 2 액추에이터를 더 포함하는, 기판 프로세싱 시스템.
  12. 제 11 항에 있어서,
    상기 에지 커플링 링의 적어도 일부와 상기 리프팅 링 사이에 배치된 중간 에지 커플링 링을 더 포함하고, 상기 중간 에지 커플링 링은 상기 제 2 액추에이터가 상기 리프팅 링에 대해 상기 에지 커플링 링을 이동시킬 때 정지상태로 남아 있는, 기판 프로세싱 시스템.
  13. 제 11 항에 있어서,
    상기 에지 커플링 링의 플라즈마-대면 표면의 부식에 응답하여 상기 제 2 액추에이터를 사용하여 상기 에지 커플링 링을 이동시키도록 구성된 제어기를 더 포함하는, 기판 프로세싱 시스템.
  14. 제 13 항에 있어서,
    상기 제어기는 상기 에지 커플링 링이 미리결정된 수의 에칭 사이클들에 노출된 후 상기 제 2 액추에이터를 사용하여 상기 에지 커플링 링을 자동으로 이동시키도록 구성되는, 기판 프로세싱 시스템.
  15. 제 13 항에 있어서,
    상기 제어기는 상기 에지 커플링 링이 미리결정된 기간의 에칭에 노출된 후 상기 제 2 액추에이터를 사용하여 상기 에지 커플링 링을 자동으로 이동시키도록 구성되는, 기판 프로세싱 시스템.
  16. 제 13 항에 있어서,
    상기 제어기와 통신하고 상기 에지 커플링 링의 상기 부식을 검출하도록 구성된 센서를 더 포함하는, 기판 프로세싱 시스템.
  17. 제 16 항에 있어서,
    상기 제어기와 통신하고 상기 센서의 위치를 조정하도록 구성된 로봇 암을 더 포함하는, 기판 프로세싱 시스템.
  18. 제 11 항에 있어서,
    제 1 에지 커플링 효과를 사용하는 상기 기판의 제 1 처리를 위해 상기 제 2 액추에이터를 사용하여 제 1 위치로 상기 에지 커플링 링을 이동시키고, 이어서 상기 제 1 에지 커플링 효과와 상이한 상기 제 2 에지 커플링 효과를 사용하는 상기 기판의 제 2 처리를 위해 상기 제 2 액추에이터를 사용하여 제 2 위치로 상기 에지 커플링 링을 이동시키도록 구성된 제어기를 더 포함하는, 기판 프로세싱 시스템.
  19. 기판 프로세싱 시스템 내에서 에지 커플링 링을 유지하기 위한 방법에 있어서,
    프로세싱 챔버 내에서 페데스탈의 방사상으로 외측 에지에 인접하게 에지 커플링 링을 배치하는 단계;
    상기 페데스탈에 대해 상승된 위치로 상기 에지 커플링 링을 선택적으로 이동시키기 위해 제 1 액추에이터를 사용하는 단계; 및
    상기 에지 커플링 링이 상기 상승된 위치에 있을 때 로봇 암을 사용하여 상기 에지 커플링 링을 교체하는 단계를 포함하는, 에지 커플링 링을 유지하기 위한 방법.
  20. 제 19 항에 있어서,
    상기 에지 커플링 링의 적어도 일부 아래에 리프팅 링을 배치하는 단계를 더 포함하고, 상기 액추에이터는 상기 리프팅 링을 편향시키고 상기 리프팅 링은 상기 에지 커플링 링을 편향시키는, 에지 커플링 링을 유지하기 위한 방법.
  21. 제 20 항에 있어서,
    상기 제 1 액추에이터와 상기 리프팅 링 사이에 필라를 배치하는 단계를 더 포함하는, 에지 커플링 링을 유지하기 위한 방법.
  22. 제 20 항에 있어서,
    상기 로봇 암에 홀더를 부착하는 단계를 더 포함하고,
    상기 홀더는 상기 에지 커플링 링 상의 자가-센터링 피처와 메이팅하는 자가-센터링 피처를 포함하는, 에지 커플링 링을 유지하기 위한 방법.
  23. 제 20 항에 있어서,
    상기 리프팅 링 상의 자가-센터링 피처와 메이팅하도록 상기 에지 커플링 링 상의 상기 자가-센터링 피처를 사용하는 단계를 더 포함하는, 에지 커플링 링을 유지하기 위한 방법.
  24. 제 20 항에 있어서,
    상기 에지 커플링 링의 적어도 일부 및 상기 리프팅 링 아래에 하단 에지 커플링 링을 배치하는 단계를 더 포함하는, 에지 커플링 링을 유지하기 위한 방법.
  25. 제 24 항에 있어서,
    상기 리프팅 링 상의 자가-센터링 피처와 메이팅하도록 상기 하단 에지 커플링 링 상의 자가-센터링 피처를 사용하는 단계를 더 포함하는, 에지 커플링 링을 유지하기 위한 방법.
  26. 제 21 항에 있어서,
    상기 리프팅 링은 방사상 외측으로 연장하는 돌출부를 포함하고,
    상기 돌출부는 상기 돌출부의 하단 대면 표면 상에 형성된 홈부를 포함하고,
    상기 홈부는 상기 에지 커플링 링이 리프팅될 때 상기 필라에 의해 편향되는, 에지 커플링 링을 유지하기 위한 방법.
  27. 제 20 항에 있어서,
    상기 에지 커플링 링의 에지 커플링 프로파일을 변경하도록 제 2 액추에이터를 사용하여 상기 리프팅 링에 대해 상기 에지 커플링 링을 이동시키는 단계를 더 포함하는, 에지 커플링 링을 유지하기 위한 방법.
  28. 제 27 항에 있어서,
    상기 에지 커플링 링의 적어도 일부와 상기 리프팅 링 사이에 중간 에지 커플링 링을 배치하는 단계를 더 포함하고, 상기 중간 에지 커플링 링은 상기 제 2 액추에이터가 상기 리프팅 링에 대해 상기 에지 커플링 링을 이동시킬 때 정지상태로 남아 있는, 에지 커플링 링을 유지하기 위한 방법.
  29. 제 27 항에 있어서,
    상기 에지 커플링 링의 플라즈마-대면 표면의 부식에 응답하여 상기 제 2 액추에이터를 사용하여 상기 에지 커플링 링을 이동시키는 단계를 더 포함하는, 에지 커플링 링을 유지하기 위한 방법.
  30. 제 29 항에 있어서,
    상기 에지 커플링 링이 미리결정된 수의 에칭 사이클들에 노출된 후 상기 에지 커플링 링을 자동으로 이동시키는 단계를 더 포함하는, 에지 커플링 링을 유지하기 위한 방법.
  31. 제 29 항에 있어서,
    상기 에지 커플링 링이 미리결정된 기간의 에칭에 노출된 후 상기 에지 커플링 링을 자동으로 이동시키는 단계를 더 포함하는, 에지 커플링 링을 유지하기 위한 방법.
  32. 제 20 항에 있어서,
    센서를 사용하여 상기 에지 커플링 링의 부식을 검출하는 단계를 더 포함하는, 에지 커플링 링을 유지하기 위한 방법.
  33. 제 27 항에 있어서,
    제 1 에지 커플링 효과를 사용하는 상기 기판의 제 1 처리를 위해 상기 제 2 액추에이터를 사용하여 제 1 위치로 상기 에지 커플링 링을 이동시키고, 이어서 상기 제 1 에지 커플링 효과와 상이한 상기 제 2 에지 커플링 효과를 사용하는 상기 기판의 제 2 처리를 위해 상기 제 2 액추에이터를 사용하여 제 2 위치로 상기 에지 커플링 링을 이동시키는 단계를 더 포함하는, 에지 커플링 링을 유지하기 위한 방법.
KR1020160004905A 2015-01-16 2016-01-14 반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링 KR102537053B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/598,943 US11605546B2 (en) 2015-01-16 2015-01-16 Moveable edge coupling ring for edge process control during semiconductor wafer processing
US14/598,943 2015-01-16
US14/705,430 2015-05-06
US14/705,430 US10658222B2 (en) 2015-01-16 2015-05-06 Moveable edge coupling ring for edge process control during semiconductor wafer processing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020180114808A Division KR20180110653A (ko) 2015-01-16 2018-09-27 반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링

Publications (2)

Publication Number Publication Date
KR20160088820A true KR20160088820A (ko) 2016-07-26
KR102537053B1 KR102537053B1 (ko) 2023-05-25

Family

ID=56408377

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020160004905A KR102537053B1 (ko) 2015-01-16 2016-01-14 반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링
KR1020180114808A KR20180110653A (ko) 2015-01-16 2018-09-27 반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링
KR1020210079769A KR20210080300A (ko) 2015-01-16 2021-06-21 반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링
KR1020230128782A KR20230144988A (ko) 2015-01-16 2023-09-26 반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020180114808A KR20180110653A (ko) 2015-01-16 2018-09-27 반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링
KR1020210079769A KR20210080300A (ko) 2015-01-16 2021-06-21 반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링
KR1020230128782A KR20230144988A (ko) 2015-01-16 2023-09-26 반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링

Country Status (6)

Country Link
US (3) US10658222B2 (ko)
JP (3) JP6783521B2 (ko)
KR (4) KR102537053B1 (ko)
CN (2) CN105810609B (ko)
SG (3) SG10201808035YA (ko)
TW (3) TWI704645B (ko)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180002414U (ko) * 2017-02-01 2018-08-09 어플라이드 머티어리얼스, 인코포레이티드 에지 균일성 제어를 위한 조정가능한 연장되는 전극
KR20190017721A (ko) * 2017-07-24 2019-02-20 램 리써치 코포레이션 이동가능한 에지 링 설계들
KR20200004439A (ko) * 2017-05-31 2020-01-13 램 리써치 코포레이션 튜닝가능/교체가능한 에지 커플링 링에 대한 검출 시스템
KR20200043706A (ko) * 2018-10-18 2020-04-28 세메스 주식회사 기판 처리 장치
US10665490B2 (en) 2018-07-04 2020-05-26 Samsung Electronics Co., Ltd. Apparatus and methods for edge ring replacement, inspection and alignment using image sensors
KR20200087113A (ko) * 2018-10-18 2020-07-20 세메스 주식회사 기판 처리 장치
KR20210016061A (ko) * 2018-09-04 2021-02-10 어플라이드 머티어리얼스, 인코포레이티드 이동 프로세스 키트에 대한 침식을 측정하고 포지션을 교정하기 위한 방법 및 장치
KR20210059797A (ko) * 2017-11-21 2021-05-25 램 리써치 코포레이션 하단 링 및 중간 에지 링
KR20220072746A (ko) * 2020-11-23 2022-06-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 하부 전극 어셈블리, 플라즈마 처리 장치 및 포커스링을 교체하는 방법
KR102427214B1 (ko) * 2021-11-12 2022-08-01 비씨엔씨 주식회사 결합 및 분해가 가능한 반도체용 포커스 링 조립체
KR20230017964A (ko) * 2021-07-28 2023-02-07 세메스 주식회사 지지 유닛, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
KR20230044020A (ko) * 2021-02-09 2023-03-31 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 반송 방법
KR20230156438A (ko) * 2019-08-05 2023-11-14 램 리써치 코포레이션 기판 프로세싱 시스템을 위한 감소된 커패시턴스 변화를 갖는 이동식 에지 링들

Families Citing this family (266)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
EP2923376A4 (en) * 2012-11-21 2016-06-22 Ev Group Inc ADJUSTMENT DEVICE FOR ADJUSTING AND ASSEMBLING A WATER
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102179088B1 (ko) * 2013-12-12 2020-11-18 메스 메디컬 일렉트로닉 시스템즈 리미티드 홈 테스팅 장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160289827A1 (en) * 2015-03-31 2016-10-06 Lam Research Corporation Plasma processing systems and structures having sloped confinement rings
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
CN116110846A (zh) * 2016-01-26 2023-05-12 应用材料公司 晶片边缘环升降解决方案
WO2017131927A1 (en) * 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10910195B2 (en) * 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN108345178B (zh) * 2017-01-25 2020-11-13 上海微电子装备(集团)股份有限公司 一种硅片边缘保护装置
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
CN117174641A (zh) 2017-04-07 2023-12-05 应用材料公司 在基板边缘上的等离子体密度控制
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504738B2 (en) * 2017-05-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Focus ring for plasma etcher
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP6966286B2 (ja) 2017-10-11 2021-11-10 東京エレクトロン株式会社 プラズマ処理装置、フォーカスリングの昇降制御方法およびフォーカスリングの昇降制御プログラム
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
KR102505152B1 (ko) * 2017-12-15 2023-02-28 램 리써치 코포레이션 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
US11043400B2 (en) * 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP6995008B2 (ja) * 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
JP7122864B2 (ja) * 2018-05-14 2022-08-22 東京エレクトロン株式会社 クリーニング方法及び基板処理装置
KR102242812B1 (ko) * 2018-05-17 2021-04-22 세메스 주식회사 반송 유닛 및 이를 갖는 기판 처리 장치
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN111312633A (zh) * 2018-07-27 2020-06-19 上海华力集成电路制造有限公司 硅刻蚀机及其操作方法
US11488848B2 (en) * 2018-07-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor die vessel processing workstations
KR20230106754A (ko) * 2018-08-13 2023-07-13 램 리써치 코포레이션 에지 링 포지셔닝 및 센터링 피처들을 포함하는 플라즈마 시스 튜닝을 위한 교체가능한 에지 링 어셈블리 및/또는 접을 수 있는 에지 링 어셈블리
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847393B2 (en) 2018-09-04 2020-11-24 Applied Materials, Inc. Method and apparatus for measuring process kit centering
US11342210B2 (en) 2018-09-04 2022-05-24 Applied Materials, Inc. Method and apparatus for measuring wafer movement and placement using vibration data
US11404296B2 (en) * 2018-09-04 2022-08-02 Applied Materials, Inc. Method and apparatus for measuring placement of a substrate on a heater pedestal
US10794681B2 (en) 2018-09-04 2020-10-06 Applied Materials, Inc. Long range capacitive gap measurement in a wafer form sensor system
JP7115942B2 (ja) * 2018-09-06 2022-08-09 東京エレクトロン株式会社 載置台、基板処理装置、エッジリング及びエッジリングの搬送方法
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP7076351B2 (ja) 2018-10-03 2022-05-27 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の厚さ測定方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
JP7129307B2 (ja) 2018-10-10 2022-09-01 東京エレクトロン株式会社 基板支持アセンブリ、プラズマ処理装置、及びプラズマ処理方法
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
JP2020087969A (ja) 2018-11-15 2020-06-04 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の形状測定方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11512393B2 (en) * 2018-11-29 2022-11-29 Lam Research Corporation Dynamic sheath control with edge ring lift
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) * 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7134104B2 (ja) 2019-01-09 2022-09-09 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
JP2020115499A (ja) 2019-01-17 2020-07-30 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の位置ずれ測定方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11393663B2 (en) * 2019-02-25 2022-07-19 Tokyo Electron Limited Methods and systems for focus ring thickness determinations and feedback control
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200112447A (ko) 2019-03-22 2020-10-05 삼성전자주식회사 에지 링을 갖는 기판 처리 장치
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US11018046B2 (en) 2019-04-12 2021-05-25 Samsung Electronics Co., Ltd. Substrate processing apparatus including edge ring
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
WO2020214327A1 (en) * 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US20200335368A1 (en) * 2019-04-22 2020-10-22 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
TWM589358U (zh) * 2019-05-10 2020-01-11 美商蘭姆研究公司 半導體製程模組的頂環
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
US11913777B2 (en) 2019-06-11 2024-02-27 Applied Materials, Inc. Detector for process kit ring wear
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
KR20210002175A (ko) 2019-06-26 2021-01-07 삼성전자주식회사 센서 모듈 및 이를 구비하는 식각 장치
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20220038172A (ko) * 2019-08-05 2022-03-25 램 리써치 코포레이션 기판 프로세싱 시스템들을 위한 에지 링 시스템들
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US20220328290A1 (en) * 2019-08-14 2022-10-13 Lam Research Coporation Moveable edge rings for substrate processing systems
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP2021040011A (ja) * 2019-09-02 2021-03-11 キオクシア株式会社 プラズマ処理装置
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11443923B2 (en) * 2019-09-25 2022-09-13 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus for fabricating a semiconductor structure and method of fabricating a semiconductor structure
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210042749A (ko) * 2019-10-10 2021-04-20 삼성전자주식회사 정전 척 및 상기 정전 척을 포함하는 기판 처리 장치
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
JP7263225B2 (ja) * 2019-12-12 2023-04-24 東京エレクトロン株式会社 搬送するシステム及び方法
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
WO2021173498A1 (en) * 2020-02-24 2021-09-02 Lam Research Corporation Semiconductor processing chamber with dual-lift mechanism for edge ring elevation management
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP2021150424A (ja) * 2020-03-18 2021-09-27 キオクシア株式会社 エッジリング及びプラズマ処理装置
US11551916B2 (en) 2020-03-20 2023-01-10 Applied Materials, Inc. Sheath and temperature control of a process kit in a substrate processing chamber
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11749543B2 (en) * 2020-07-06 2023-09-05 Applied Materials, Inc. Chamber matching and calibration
JP7455012B2 (ja) 2020-07-07 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11380575B2 (en) 2020-07-27 2022-07-05 Applied Materials, Inc. Film thickness uniformity improvement using edge ring and bias electrode geometry
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11623321B2 (en) * 2020-10-14 2023-04-11 Applied Materials, Inc. Polishing head retaining ring tilting moment control
TW202221833A (zh) 2020-10-19 2022-06-01 日商東京威力科創股份有限公司 載置台及基板處理裝置
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20230027251A (ko) * 2020-11-19 2023-02-27 어플라이드 머티어리얼스, 인코포레이티드 기판 극단 에지 보호를 위한 링
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022163582A1 (ja) * 2021-01-29 2022-08-04 東京エレクトロン株式会社 プラズマ処理装置
CN113097038B (zh) * 2021-02-25 2022-07-15 长江存储科技有限责任公司 刻蚀装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11721569B2 (en) 2021-06-18 2023-08-08 Applied Materials, Inc. Method and apparatus for determining a position of a ring within a process kit
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023224855A1 (en) * 2022-05-17 2023-11-23 Lam Research Corporation Self-centering edge ring
WO2024030307A1 (en) * 2022-08-03 2024-02-08 Lam Research Corporation System and method to maintain constant clamping pressure during chamber rebooting and power failure instances

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002176030A (ja) * 2000-12-07 2002-06-21 Semiconductor Leading Edge Technologies Inc プラズマエッチング装置、及びプラズマエッチング方法
JP2008244274A (ja) * 2007-03-28 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
KR20100123724A (ko) * 2008-02-15 2010-11-24 어플라이드 머티어리얼스, 인코포레이티드 밀리 세컨드 어닐링 (dsa)에지 보호
JP2011054933A (ja) * 2009-08-07 2011-03-17 Tokyo Electron Ltd 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
JP2013511847A (ja) * 2009-11-20 2013-04-04 アプライド マテリアルズ インコーポレイテッド アーク放電を低減させた静電チャック

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP2713276B2 (ja) * 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
JP2001525997A (ja) * 1997-05-20 2001-12-11 東京エレクトロン株式会社 処理装置
US6186092B1 (en) * 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
JP2000232149A (ja) * 1999-02-09 2000-08-22 Toshiba Corp 半導体ウエハ収納容器の載置位置決め機構
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP4416892B2 (ja) * 2000-01-04 2010-02-17 株式会社アルバック マスク及び真空処理装置
JP2001230239A (ja) * 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
JP2003282680A (ja) * 2002-03-20 2003-10-03 Seiko Epson Corp 基板リフター、クランプリング及び基板処理装置
JP4323764B2 (ja) * 2002-07-16 2009-09-02 大日本スクリーン製造株式会社 熱処理装置
US6868302B2 (en) * 2002-03-25 2005-03-15 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus
US6896765B2 (en) * 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US7138067B2 (en) * 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
WO2006041169A1 (ja) * 2004-10-15 2006-04-20 Hitachi Kokusai Electric Inc. 基板処理装置及び半導体装置の製造方法
JP2006173223A (ja) * 2004-12-14 2006-06-29 Toshiba Corp プラズマエッチング装置およびそれを用いたプラズマエッチング方法
JP4707421B2 (ja) * 2005-03-14 2011-06-22 東京エレクトロン株式会社 処理装置,処理装置の消耗部品管理方法,処理システム,処理システムの消耗部品管理方法
US20070224709A1 (en) * 2006-03-23 2007-09-27 Tokyo Electron Limited Plasma processing method and apparatus, control program and storage medium
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP2008300387A (ja) * 2007-05-29 2008-12-11 Speedfam Co Ltd 局所ドライエッチング装置のエッチングガス流制御装置及び方法
US7824146B2 (en) * 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
JP4858395B2 (ja) * 2007-10-12 2012-01-18 パナソニック株式会社 プラズマ処理装置
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8287650B2 (en) * 2008-09-10 2012-10-16 Applied Materials, Inc. Low sloped edge ring for plasma processing chamber
US20100122655A1 (en) * 2008-11-14 2010-05-20 Tiner Robin L Ball supported shadow frame
JP5071437B2 (ja) * 2009-05-18 2012-11-14 パナソニック株式会社 プラズマ処理装置及びプラズマ処理装置におけるトレイの載置方法
US8409995B2 (en) * 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5719599B2 (ja) * 2011-01-07 2015-05-20 東京エレクトロン株式会社 基板処理装置
US20120237682A1 (en) * 2011-03-18 2012-09-20 Applied Materials, Inc. In-situ mask alignment for deposition tools
JP6003011B2 (ja) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
JP2012222235A (ja) * 2011-04-12 2012-11-12 Hitachi High-Technologies Corp プラズマ処理装置
JP6046128B2 (ja) 2011-05-31 2016-12-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマ(icp)リアクタ用動的イオンラジカルシーブ及びイオンラジカルアパーチャ
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US9376752B2 (en) * 2012-04-06 2016-06-28 Applied Materials, Inc. Edge ring for a deposition chamber
KR101974420B1 (ko) * 2012-06-08 2019-05-02 세메스 주식회사 기판처리장치 및 방법
US9997381B2 (en) * 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
WO2014163742A1 (en) * 2013-03-12 2014-10-09 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
US9425077B2 (en) * 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002176030A (ja) * 2000-12-07 2002-06-21 Semiconductor Leading Edge Technologies Inc プラズマエッチング装置、及びプラズマエッチング方法
JP2008244274A (ja) * 2007-03-28 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
KR20100123724A (ko) * 2008-02-15 2010-11-24 어플라이드 머티어리얼스, 인코포레이티드 밀리 세컨드 어닐링 (dsa)에지 보호
JP2011054933A (ja) * 2009-08-07 2011-03-17 Tokyo Electron Ltd 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
JP2013511847A (ja) * 2009-11-20 2013-04-04 アプライド マテリアルズ インコーポレイテッド アーク放電を低減させた静電チャック

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180089852A (ko) * 2017-02-01 2018-08-09 어플라이드 머티어리얼스, 인코포레이티드 에지 균일성 제어를 위한 조정가능한 연장되는 전극
KR20180002414U (ko) * 2017-02-01 2018-08-09 어플라이드 머티어리얼스, 인코포레이티드 에지 균일성 제어를 위한 조정가능한 연장되는 전극
KR20200004439A (ko) * 2017-05-31 2020-01-13 램 리써치 코포레이션 튜닝가능/교체가능한 에지 커플링 링에 대한 검출 시스템
KR20190017721A (ko) * 2017-07-24 2019-02-20 램 리써치 코포레이션 이동가능한 에지 링 설계들
KR20220070073A (ko) * 2017-07-24 2022-05-27 램 리써치 코포레이션 이동가능한 에지 링 설계들
KR20210063478A (ko) * 2017-07-24 2021-06-01 램 리써치 코포레이션 이동가능한 에지 링 설계들
KR20210059797A (ko) * 2017-11-21 2021-05-25 램 리써치 코포레이션 하단 링 및 중간 에지 링
KR20220073858A (ko) * 2017-11-21 2022-06-03 램 리써치 코포레이션 하단 링 및 중간 에지 링
US10665490B2 (en) 2018-07-04 2020-05-26 Samsung Electronics Co., Ltd. Apparatus and methods for edge ring replacement, inspection and alignment using image sensors
KR20210016061A (ko) * 2018-09-04 2021-02-10 어플라이드 머티어리얼스, 인코포레이티드 이동 프로세스 키트에 대한 침식을 측정하고 포지션을 교정하기 위한 방법 및 장치
US11521872B2 (en) 2018-09-04 2022-12-06 Applied Materials, Inc. Method and apparatus for measuring erosion and calibrating position for a moving process kit
KR20230026543A (ko) * 2018-09-04 2023-02-24 어플라이드 머티어리얼스, 인코포레이티드 이동 프로세스 키트에 대한 침식을 측정하고 포지션을 교정하기 위한 방법 및 장치
US11978647B2 (en) 2018-09-04 2024-05-07 Applied Materials, Inc. Method and apparatus for measuring erosion and calibrating position for a moving process kit
KR20200087113A (ko) * 2018-10-18 2020-07-20 세메스 주식회사 기판 처리 장치
KR20200043706A (ko) * 2018-10-18 2020-04-28 세메스 주식회사 기판 처리 장치
KR20230156438A (ko) * 2019-08-05 2023-11-14 램 리써치 코포레이션 기판 프로세싱 시스템을 위한 감소된 커패시턴스 변화를 갖는 이동식 에지 링들
KR20220072746A (ko) * 2020-11-23 2022-06-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 하부 전극 어셈블리, 플라즈마 처리 장치 및 포커스링을 교체하는 방법
KR20230044020A (ko) * 2021-02-09 2023-03-31 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 반송 방법
KR20230017964A (ko) * 2021-07-28 2023-02-07 세메스 주식회사 지지 유닛, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
KR102427214B1 (ko) * 2021-11-12 2022-08-01 비씨엔씨 주식회사 결합 및 분해가 가능한 반도체용 포커스 링 조립체

Also Published As

Publication number Publication date
JP2021073705A (ja) 2021-05-13
SG10201600319VA (en) 2016-08-30
KR20210080300A (ko) 2021-06-30
CN110010432A (zh) 2019-07-12
US20210183687A1 (en) 2021-06-17
KR20180110653A (ko) 2018-10-10
TW202125697A (zh) 2021-07-01
TWI717638B (zh) 2021-02-01
JP2016146472A (ja) 2016-08-12
KR102537053B1 (ko) 2023-05-25
JP6863941B2 (ja) 2021-04-21
CN105810609B (zh) 2021-06-25
US10658222B2 (en) 2020-05-19
TWI766510B (zh) 2022-06-01
CN105810609A (zh) 2016-07-27
US20160211166A1 (en) 2016-07-21
TW201901850A (zh) 2019-01-01
US20190013232A1 (en) 2019-01-10
JP6783521B2 (ja) 2020-11-11
TW201639074A (zh) 2016-11-01
TWI704645B (zh) 2020-09-11
KR20230144988A (ko) 2023-10-17
JP2019024109A (ja) 2019-02-14
SG10201808035YA (en) 2018-10-30
SG10201906516XA (en) 2019-08-27

Similar Documents

Publication Publication Date Title
US20210183687A1 (en) Edge ring arrangement with moveable edge rings
US11605546B2 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10541168B2 (en) Edge ring centering method using ring dynamic alignment data
JP6976686B2 (ja) エッジリング特性評価を実行するためのシステムおよび方法
US10410832B2 (en) Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR102258054B1 (ko) 이동가능한 에지 링 설계들
WO2018222430A2 (en) Detection system for tunable/replaceable edge coupling ring
US20220285136A1 (en) Edge ring systems for substrate processing systems
US20230369025A1 (en) High precision edge ring centering for substrate processing systems

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant