TW202125697A - 半導體晶圓處理期間之邊緣程序控制用的可移動邊緣耦合環 - Google Patents
半導體晶圓處理期間之邊緣程序控制用的可移動邊緣耦合環 Download PDFInfo
- Publication number
- TW202125697A TW202125697A TW109146436A TW109146436A TW202125697A TW 202125697 A TW202125697 A TW 202125697A TW 109146436 A TW109146436 A TW 109146436A TW 109146436 A TW109146436 A TW 109146436A TW 202125697 A TW202125697 A TW 202125697A
- Authority
- TW
- Taiwan
- Prior art keywords
- edge coupling
- coupling ring
- ring
- edge ring
- edge
- Prior art date
Links
- 230000008878 coupling Effects 0.000 title abstract description 275
- 238000010168 coupling process Methods 0.000 title abstract description 275
- 238000005859 coupling reaction Methods 0.000 title abstract description 275
- 239000004065 semiconductor Substances 0.000 title description 9
- 238000004886 process control Methods 0.000 title 1
- 239000000758 substrate Substances 0.000 claims abstract description 84
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 abstract description 8
- 238000000034 method Methods 0.000 description 76
- 238000005530 etching Methods 0.000 description 23
- 230000003628 erosive effect Effects 0.000 description 18
- 230000001808 coupling effect Effects 0.000 description 11
- 235000012431 wafers Nutrition 0.000 description 11
- 238000004519 manufacturing process Methods 0.000 description 5
- 239000000463 material Substances 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 239000002243 precursor Substances 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 238000011010 flushing procedure Methods 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 230000004044 response Effects 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- -1 oxide Substances 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 230000001960 triggered effect Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68721—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68742—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/02—Details
- H01J37/023—Means for mechanically adjusting components not otherwise provided for
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/02—Details
- H01J37/20—Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
- H01J37/32642—Focus rings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68735—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68785—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3343—Problems associated with etching
- H01J2237/3344—Problems associated with etching isotropy
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Crystals, And After-Treatments Of Crystals (AREA)
- Shaping Metal By Deep-Drawing, Or The Like (AREA)
Abstract
基板處理系統包含處理腔室及設置於處理腔室中的基座。邊緣耦合環係鄰近該基座的徑向外邊緣而設置。第一致動器係配置成選擇性地將邊緣耦合環移至相對基座的上升位置,以提供邊緣耦合環與基座之間的空隙,從而容許自動機器手臂從處理腔室移除邊緣耦合環。
Description
本揭露內容相關於基板處理系統,且更特別地相關於基板處理系統之邊緣耦合環。
[相關申請案之交互參考]
本揭露內容為2015年1月22日申請之美國專利申請案第14/598,943號之部分連續案。以上參照之申請案的整體揭露內容係併入於此,以供參考。
此處提供的背景描述係針對概括性地呈現該揭露內容之脈絡的目的。目前所列名之發明人的工作成果(就本先前技術部分中所描述之範圍而言)、以及可能未以其他方式視為申請時之先前技術的描述內容之實施態樣並非明示、或暗示地被認為是相對本揭露內容的先前技術。
基板處理系統可用以執行如半導體晶圓之基板的蝕刻及/或其他處理。可將基板設置於基板處理系統之處理腔室中的基座上。舉例而言,在電漿加強化學氣相沉積(PECVD)製程中的蝕刻期間,將包含一或更多前驅物的氣體混合物導入處理腔室中,並且觸發電漿以蝕刻基板。
邊緣耦合環已用以調整基板之徑向外邊緣附近的蝕刻速率及/或電漿蝕刻輪廓。邊緣耦合環係典型地位於基板之徑向外邊緣周圍的基座上。基板之徑向外邊緣處的製程條件可藉由改變以下者而加以修正:邊緣耦合環的位置、邊緣耦合環之內邊緣的形狀或輪廓、邊緣耦合環相對基板之上表面的高度、邊緣耦合環的材料等。
更換邊緣耦合環需要打開處理腔室,而這是不期望的。換句話說,無法在不打開處理腔室的情況下改變邊緣耦合環的邊緣耦接效果。當邊緣耦合環在蝕刻期間被電漿侵蝕時,邊緣耦接效果發生改變。校正邊緣耦合環的侵蝕需要打開處理腔室,以替換該邊緣耦合環。
現在參考圖1-2,基板處理系統可包含基座20及邊緣耦合環30。邊緣耦合環30可包含單一部件、或者二或更多的部件。在圖1-2中之範例中,邊緣耦合環30包含設置於基板33之徑向外邊緣附近的第一環形部32。第二環形部34係在徑向上自第一環形部起向內位於基板33的下方。第三環形部36係設置於第一環形部32的下方。在使用期間,將電漿42引向基板33,以蝕刻基板33之曝露的部分。邊緣耦合環30係設置成有助於使電漿塑形,從而對基板33進行均勻的蝕刻。
在圖2中,邊緣耦合環30已使用之後,如48處所標示,邊緣耦合環30之徑向內部的上表面可能呈現侵蝕。結果,如44處可見,電漿42可能傾向於以比基板33之徑向內部之蝕刻更快的速率蝕刻基板33的徑向外邊緣。
基板處理系統包含處理腔室及設置於處理腔室中的基座。邊緣耦合環係鄰近該基座的徑向外邊緣而設置。第一致動器係配置成選擇性地將邊緣耦合環移至相對基座的上升位置,以提供邊緣耦合環與基座之間的空隙,從而容許自動機器手臂從處理腔室移除邊緣耦合環。
在其他特徵中,抬升環係設置於邊緣耦合環之至少一部分的下方。第一致動器使抬升環偏置,且抬升環使邊緣耦合環偏置。支柱係設置於第一致動器與抬升環之間。自動機器手臂係配置成當邊緣耦合環與抬升環在上升位置中時,從處理腔室移除邊緣耦合環。固持器係連接至自動機器手臂。固持器包含與邊緣耦合環上之自置中特徵部相配合的自置中特徵部。邊緣耦合環包含與抬升環上之自置中特徵部相配合的自置中特徵部。
在其他特徵中,底部邊緣耦合環係設置於邊緣耦合環及抬升環之至少一部分的下方。該底部邊緣耦合環包含與抬升環上之自置中特徵部相配合的自置中特徵部。
在其他特徵中,抬升環包含在徑向上向外延伸的凸部。該凸部包含形成於該凸部之朝向底部之表面上的溝槽。當該邊緣耦合環被抬起時,該支柱使溝槽偏置。
在其他特徵中,自動機器手臂在無需使處理腔室開放於大氣壓力的情形下從處理腔室移除邊緣耦合環。第二致動器係配置成相對抬升環移動邊緣耦合環,以改變該邊緣耦合環的邊緣耦接輪廓。中間邊緣耦合環係設置於邊緣耦合環之至少一部分與抬升環之間。中間邊緣耦合環在第二致動器相對抬升環移動邊緣耦合環時保持靜止。
在其他特徵中,控制器係配置成因應邊緣耦合環之面向電漿表面的侵蝕而使用第二致動器移動邊緣耦合環。控制器係配置成在邊緣耦合環曝露於預定數目的蝕刻循環之後,使用第二致動器自動地移動邊緣耦合環。控制器係配置成在邊緣耦合環曝露於預定時間段的蝕刻之後,使用第二致動器自動地移動邊緣耦合環。
在其他特徵中,感測器係配置成與控制器相連通,且配置成偵測邊緣耦合環的侵蝕。自動機器手臂係配置成與控制器相連通,且配置成調整感測器的位置。控制器係配置成使用第二致動器將邊緣耦合環移至第一位置,從而使用第一邊緣耦接效果進行基板的第一處理,然後使用第二致動器將邊緣耦合環移至第二位置,從而使用不同於第一邊緣耦接效果的第二邊緣耦接效果進行基板的第二處理。
在基板處理系統中維護邊緣耦合環的方法包含:在處理腔室中鄰近基座的徑向外邊緣而設置邊緣耦合環;使用第一致動器以選擇性地將邊緣耦合環移至相對基座的上升位置;並且當邊緣耦合環在上升位置中時,使用自動機器手臂替換邊緣耦合環。
在其他特徵中,方法包含在邊緣耦合環之至少一部分的下方設置抬升環。致動器使該抬升環偏置,且該抬升環使該邊緣耦合環偏置。方法包含在第一制動器與抬升環之間設置支柱。方法包含將固持器附接至自動機器手臂。固持器包含與邊緣耦合環上之自置中特徵部相配合的自置中特徵部。方法包含在邊緣耦合環上使用使用自置中特徵部,以配合抬升環上的自置中特徵部。
在其他特徵中,方法包含在邊緣耦合環之至少一部分及抬升環的下方設置底部邊緣耦合環。方法包含在底部邊緣耦合環上使用自置中特徵部,以配合抬升環上的自置中特徵部。抬升環包含在徑向上向外延伸的凸部。該凸部包含形成於該凸部之朝向底部之表面上的溝槽。當該邊緣耦合環被抬起時,該支柱使該溝槽偏置。
在其他特徵中,方法包含使用第二致動器相對抬升環移動邊緣耦合環,以改變邊緣耦合環的邊緣耦接輪廓。方法包含在邊緣耦合環之至少一部分與抬升環之間設置中間邊緣耦合環,其中中間邊緣耦合環在第二致動器相對抬升環移動邊緣耦合環時保持靜止。
在其他特徵中,方法包含因應邊緣耦合環之面向電漿表面的侵蝕,使用第二致動器移動邊緣耦合環。方法包含在邊緣耦合環曝露於預定數目的蝕刻循環之後,自動地移動邊緣耦合環。方法包含在邊緣耦合環曝露於預定時間段的蝕刻之後,自動地移動邊緣耦合環。
在其他特徵中,方法包含使用感測器偵測邊緣耦合環的侵蝕。方法包含使用第二致動器將邊緣耦合環移至第一位置,從而使用第一邊緣耦接效果進行基板的第一處理,並且然後使用第二致動器將邊緣耦合環移至第二位置,從而使用不同於第一邊緣耦接效果的第二邊緣耦接效果進行基板的第二處理。
本揭露內容的進一步應用領域將由實施方式、申請專利範圍、及圖式而變得明白。實施方式及具體範例僅意在說明之目的,而並非意圖限制本揭露內容的範疇。
本揭露內容容許邊緣耦合環的一或更多部件在基板處理系統中相對基板或基座而垂直地及/或水平地移動。該移動在不打開處理腔室的情形下於蝕刻或其他基板處理期間改變電漿相對基板的邊緣耦接效果。
現在參考圖3-5,基板處理系統包含基座20及邊緣耦合環60。邊緣耦合環60可由單一部件組成,或者可使用二或更多部件。在圖3-5的範例中,邊緣耦合環60包含設置於基板33之徑向外側的第一環形部72。第二環形部74係在徑向上自第一環形部72起向內位於基板33的下方。第三環形部76係設置於第一環形部72的下方。
如以下將進一步描述,致動器80可設置於各種位置中,從而相對基板33移動邊緣耦合環60的一或更多部件。僅舉例而言,在圖3中,致動器80係設置於邊緣耦合環60之第一環形部72與邊緣耦合環60之第三環形部76之間。在一些範例中,致動器80可包含壓電致動器、步進馬達、氣壓驅動機、或其他適合的致動器。在一些範例中,使用一、二、三、或四、或更多的致動器。在一些範例中,複數致動器係均勻地設置於邊緣耦合環60的周圍。(複數)致動器80可設置在處理腔室的內側或外側。
在使用期間,將電漿82引向基板33,以蝕刻基板33之曝露的部分。邊緣耦合環60係設置成有助於使電漿電場塑形,從而對基板33進行均勻的蝕刻。如圖4中84及86處可見,邊緣耦合環60之一或更多部件可能被電漿82侵蝕。侵蝕的結果即在基板33之徑向外邊緣的附近可能發生基板33之不均勻蝕刻。通常,將會需要停止該製程,打開處理腔室,以及替換邊緣耦合環。
在圖5中,致動器80係用以移動邊緣耦合環60之一或更多部件,以改變邊緣耦合環60之一或更多部件的位置。舉例而言,致動器80可用以移動邊緣耦合環60之第一環形部72。在此範例中,致動器80使邊緣耦合環60之第一環形部72在向上的、或垂直的方向上移動,使得邊緣耦合環60之第一環形部72的邊緣86係相對高於基板33之徑向外邊緣。結果,基板33之徑向外邊緣附近的蝕刻均勻性得以改善。
現在參考圖6, 如可察知,致動器可設置於一或更多其他位置中,且可在其他方向上移動,例如水平方向、對角方向等。可執行邊緣耦合環之部件的水平移動,以相對基板而使邊緣耦接效果置中。在圖6中,致動器110係設置於邊緣耦合環60的徑向外側。此外,致動器110在垂直(或,上/下)方向上、以及水平(或,側邊至側邊)方向上移動。當基板的蝕刻顯示邊緣耦合環相對基板水平偏移時,可使用水平方向的再定位。水平偏移可在不打開處理腔室的情形下加以校正。同樣,邊緣耦合環的傾斜可藉由制動一些致動器而執行,該一些致動器係不同於用以校正或產生側邊至側邊不對稱的其他制動器。
不同於使致動器110位於邊緣耦合環的環形部件之間,致動器110亦可附接至114處之徑向外壁或其他結構。或者,致動器110可從下方標示於116處之壁或其他結構支撐。
現在參考圖7-8,顯示邊緣耦合環150及壓電致動器154的另一範例。在此範例中,壓電致動器154移動邊緣耦合環150。壓電致動器154係安裝於邊緣耦合環150的第一環形部72與第三環形部76中。在圖8中,壓電致動器154移動邊緣耦合環150的第一環形部72,以調整第一環形部72之邊緣156的位置。
現在參考圖9,顯示使用RF電漿用以執行蝕刻之基板處理腔室500的範例。基板處理腔室500包含處理腔室500,其包覆基板處理腔室500的其他元件、且容納RF電漿。基板處理腔室500包含上電極504及基座506,該基座506包含下電極507。邊緣耦合環503係受基座506支撐,且係設置於基板508的周圍。可使用一或更多致動器505以移動邊緣耦合環503。在操作期間,基板508係設置於上電極504與下電極507之間的基座506上。
僅舉例而言,上電極504可包含導入並分佈製程氣體的噴淋頭509。噴淋頭509可包含桿部,該桿部包含連接至處理腔室之頂部表面的末端。基部通常係圓柱形的,且在與處理腔室頂部表面相隔開的位置處,自桿部的相反末端在徑向上向外延伸。噴淋頭之基部的基板朝向表面或面板包含複數洞,製程氣體或沖洗氣體流過該複數洞。或者,上電極504可包含傳導板,且製程氣體可以另一方式而導入。下電極507可設置於非傳導性基座中。或者,基座506可包含靜電卡盤,該靜電卡盤包含充當下電極507的傳導性板件。
RF產生系統510產生、並輸出RF電壓至上電極504與下電極507中的一者。上電極504與下電極507中的另一者可為DC接地的、AC接地的、或浮接的。僅舉例而言,RF產生系統510可包含RF電壓產生器511,其產生藉由匹配及分佈網路512而饋送至上電極504或下電極507的RF電壓。在其他範例中,電漿可感應式地、或遠端地產生。
氣體傳送系統530包含一或多個氣體源532-1、532-2、…、及532-N(統稱為氣體源532),其中N係大於0的整數。氣體源供應一或更多前驅物及其混合物。氣體源亦可供應沖洗氣體。亦可使用汽化的前驅物。氣體源532係藉由閥件534-1、534-2、…、及534-N(統稱為閥件534)及質流控制器536-1、536-2、…、及536-N(統稱為質流控制器536)而連接至歧管540。歧管540的輸出係饋送至處理腔室502。僅舉例而言,歧管540的輸出係饋送至噴淋頭509。
加熱器542可連接至設置於基座506中的加熱器線圈(未顯示)。加熱器542可用以控制基座506和基板508的溫度。閥件550和泵552可用以從處理室502抽空反應物。控制器560可用以控制基板處理腔室500的元件。控制器560亦可用以控制致動器505,以調整邊緣耦合環503之一或更多部件的位置。
自動機器570及感測器572可用以量測邊緣耦合環的侵蝕。在一些範例中,感測器572可包含深度計。自動機器570可移動與邊緣耦合環相接觸的深度計以量測侵蝕。或者,雷射干涉儀(具有或不具自動機器手臂570)可用以在無直接接觸的情況下量測侵蝕。若雷射干涉儀可與邊緣耦合環呈直視線定位,則可省略自動機器570。
另一自動機器手臂573可用以在基座506上傳送及移除基板。另外,如以下將結合圖15-23進一步描述,自動機器手臂573可用以將未使用的邊緣耦合環傳送至抬升環上,以及在充分磨損之後,用以替換已使用的邊緣耦合環。儘管針對基板及邊緣耦合環可使用同一自動機器手臂573,但亦可使用專用的自動機器手臂。
現在參考圖10,顯示操作致動器以移動邊緣耦合環之方法600的範例。在步驟610,邊緣耦合環的至少一部分係定位於相對基板的第一位置中。在步驟614,操作基板處理系統。該操作可包含基板的蝕刻、或其他處理。在步驟618,控制程式判定是否已進行預訂時間段的蝕刻、或預訂數目的蝕刻循環。如步驟618所判定,若未執行預訂的時間段或循環數目,則控制程式返回至步驟614。
當達到預定的時間段或循環數目時,控制程式在步驟624判定:最大預訂蝕刻時間段是否達到、最大數目的蝕刻循環是否已進行、及/或致動器是否已進行最大的移動。
若步驟624係「否」(false),則控制程式利用制動器移動邊緣耦合環的至少一部分。邊緣耦合環的移動可在不打開處理腔室的情況下自動地、手動地、或以其組合方式地執行。若步驟624係「是」(true),則控制程式發送訊息或以其他方式指示應該維護/替換該邊緣耦合環。
現在參考圖11,顯示操作致動器以移動邊緣耦合環之方法700的範例。在步驟710,邊緣耦合環的至少一部分係定位於相對基板的第一位置中。在步驟714,操作基板處理系統。該操作可包含基板的蝕刻、或其他處理。在步驟718,控制程式利用如深度計或雷射干涉儀的感測器以判定邊緣耦合環是否已發生預定量的侵蝕。若步驟718係否定的,則控制程式返回至步驟714。
當已發生預定量的侵蝕時,控制程式在步驟724判定是否已發生最大量的侵蝕。如步驟724係否定的,則控制程式利用致動器移動邊緣耦合環的至少一部分。邊緣耦合環的移動可在不打開處理腔室的情況下自動地、手動地、或以其組合方式地執行。若步驟724係肯定的,則控制程式發送訊息或以其他方式指示應該維護/替換該邊緣耦合環。
除上述內容外,是否需要移動邊緣耦合環的判定可基於基板受處理後之蝕刻圖案的檢查。致動器可用以在不打開腔室的情形下調整邊緣耦合環的邊緣耦接輪廓。
現在參考圖12,處理腔室800包含設置於基座20上的邊緣耦合環60。邊緣耦合環60包含一或更多部件,該一或更多部件係可藉由設置於處理腔室800外側的一或更多致動器804而移動的。在此範例中,第一環形部72係可移動的。致動器804可藉由機械連結810而連接至邊緣耦合環60的第一環形部72。舉例而言,機械連結810可包含桿狀構件。機械連結810可穿過處理腔室800之壁814中的洞811。可使用如「O」型環的密封件812。機械連結810可穿過如邊緣耦合環60之第三環形部76的一或更多結構中的洞815。
現在參考圖13A及13B,顯示邊緣耦合環830之側邊至側邊的傾斜。側邊至側邊的傾斜可用以校正側邊至側邊的未對準情形。在圖13A中,基板之相反側上,邊緣耦合環830之部件830-1及830-2係設置於第一設置位置840中。一般而言,部件830-1及830-2可與邊緣耦合環830之部件832-1及832-2對準。致動器836-1及836-2係分別地設置於部件830-1與832-1之間、及830-2與832-2之間。
在圖13B中,致動器836-1及836-2移動邊緣耦合環830的各個部件,使得邊緣耦合環830移至與圖13A中所顯示之第一設置位置840不同的第二設置位置850。如可察知,處理之後可檢查基板,且相對基板的傾斜可在不打開處理腔室的情形下視需要調整。
現在參考圖14,顯示在基板的處理期間移動邊緣耦合環的方法900。換句話說,在同一處理腔室中單一基板上可執行不同的處理。在繼續進行至後續基板之前,邊緣耦合環的邊緣耦接效果可在同一處理腔室中於基板上所執行之複數處理之間進行調整。在步驟910,使基板定位於基座上,且視需要調整邊緣耦合環的位置。在步驟914,執行基板的處理。如步驟918處所判定,若基板的處理已完成,則在步驟922自基座移除基板。在步驟924,控制程式判定是否需要處理另一基板。如步驟924係「是」,則該方法返回至步驟910。否則,該方法終止。
若步驟918係「是」,且基板需要額外的處理,則該方法在步驟930判定邊緣耦合環是否需要調整。若步驟930係「否」,則該方法返回至步驟914。若步驟930係「是」,則在步驟934使用一或更多致動器移動邊緣耦合環的至少一部分,然後該方法返回至步驟914。如可察知,邊緣耦合環可在同一處理腔室中同一基板的處理之間調整。
現在參考圖15,邊緣耦合環1014及抬升環1018係設置於基座1010上表面的鄰近及周邊。如以上描述,邊緣耦合環1014包含在蝕刻期間鄰近基板而設置的徑向內邊緣。抬升環1018係設置於邊緣耦合環1014之至少一部分的下方。當使用自動機器手臂移除邊緣耦合環1014時,抬升環1018係用以使邊緣耦合環1014上升於基座1010的表面之上。可在無需使處理腔室開放至大氣壓力的情形下移除邊緣耦合環1014。在一些範例中,可選地,抬升環1018在圓周方向上隔開的末端1020之間可包含開放部1019,從而如以下將描述,為自動機器手臂移除邊緣耦合環1014提供間隙。
現在參考圖16-17,更詳細顯示邊緣耦合環1014及抬升環1018的範例。在圖16中顯示的範例中,基座可包含大致標示於1021處的靜電卡盤(ESC,electrostatic chuck)。ESC 1021可包含一或更多堆疊板件,例如ESC板1022、1024、1030、及1032。ESC板1030可對應於中間ESC板,且ESC板1032可對應於ESC基部板。在一些範例中,O型環1026可設置於ESC板1024與1030之間。儘管顯示具體的基座1010,但可使用其他類型的基座。
底部邊緣耦合環1034可設置於邊緣耦合環1014及抬升環1018的下方。底部邊緣耦合環1034可設置鄰近於ESC板1024、1030、及1032、及O型環1026且位於其徑向外側。
在一些範例中,邊緣耦合環1014可包含一或更多自置中特徵部1040、1044、及1046。僅舉例而言,自置中特徵部1040及1044可為三角形的、凹形的自置中特徵部,然而可使用其他形狀。自置中特徵部1046可為斜表面。抬升環1018可包含一或更多自置中特徵部1048、1050、及1051。僅舉例而言,自置中特徵部1048、及1050可為三角形的、凹形的自置中特徵部,然而可使用其他形狀。自置中特徵部1051可為具有與自置中特徵部1046互補之形狀的斜表面。抬升環1018上的自置中特徵部1048可與邊緣耦合環1014上的自置中特徵部1044相配合。抬升環1018上的自置中特徵部1050可與底部邊緣耦合環1034上的自置中特徵部1052相配合。
抬升環1018更包含在徑向上向外延伸的凸部1054。溝槽1056可設置於凸部1054之朝向底部之表面1057上。溝槽1056係配置成被支柱1060的末端偏置,該支柱1060係連接至致動器1064,並且係藉由致動器1064而在垂直方向上選擇性地移動。致動器1064可由控制器控制。如可察知,儘管顯示單一的溝槽、支柱、及致動器,但額外的溝槽、支柱、及致動器可呈圓周地以隔開的關係設置在抬升環1018的周圍,從而使抬升環1018在向上方向上偏置。
在圖17中,邊緣耦合環1014係顯示藉由抬升環1018使用(複數)支柱1060及(複數)致動器1064而在向上方向上升起。可藉由自動機器手臂從處理腔室移除邊緣耦合環1014。更尤其,自動機器手臂1102係藉由固持器1104而連接至邊緣耦合環1014。固持器1104可包含與邊緣耦合環1014上之自置中特徵部1040相配合的自置中特徵部1110。如可察知,自動機器手臂1102及固持器1104可使邊緣耦合環向上偏置,以清空抬升環1018上的自置中特徵部1048。然後,自動機器手臂1102、固持器1104、及邊緣耦合環1014可被移出處理腔室。自動機器手臂1102、固持器1104、及新的邊緣耦合環可返回、並且定位於抬升環1018上。然後,使抬升環1018降低。可使用相反的操作來將新的邊緣耦合環1014傳送至抬升環1018上。
或者,相對於向上抬升自動機器手臂1102及固持器1104以使邊緣耦合環1014抬離抬升環1018,自動機器手臂1102及固持器1104可定位於已升起之邊緣耦合環1014的下方,並與之相接觸。然後,使抬升環1018降低,且邊緣耦合環1014停留於自動機器手臂1102及固持器1104上。自動機器手臂1102、固持器1104、及邊緣耦合環1014可從處理腔室移除。可使用相反的操作以將新的邊緣耦合環1014傳送至抬升環1018上。
現在參考圖18-20,顯示可移動邊緣耦合環1238及抬升環1018。在圖18中,一或更多支柱1210係藉由一或更多致動器1214透過分別位於ESC基部板1032、底部邊緣耦合環1034、及抬升環1018中的孔1220、1224、及1228而上下移動。在此範例中,中間邊緣耦合環1240或間隔件係設置於可移動邊緣耦合環1238及抬升環1018之間。中間邊緣耦合環1240可包含自置中特徵部1244及1246。對應的自置中特徵部1248可設置於可移動邊緣耦合環1238上。自置中特徵部1248與中間邊緣耦合環1240上之自置中特徵部1246相配合。
如以上詳細描述,在使用期間可移動邊緣耦合環1238之向上朝向表面可能發生侵蝕。因此,這可能改變電漿的輪廓。使用支柱1210及致動器1214可使可移動邊緣耦合環1238在向上方向上選擇性地移動,以改變電漿的輪廓。在圖19中,圖18之可移動邊緣耦合環1238係顯示於上升位置中。中間邊緣耦合環1240可保持靜止。最終,可移動邊緣耦合環1238可被移動一或更多次,並且隨後可更換邊緣耦合環1238及中間邊緣耦合環1240。
在圖20中,將致動器1214返回至降低狀態,且使致動器1064移至上升狀態。邊緣耦合環1238及中間邊緣耦合環1240係藉由抬升環1018而抬升,且藉由自動機器手臂1102及固持器1104可移除可移動邊緣耦合環1238。
如可察知,致動器可設置於處理腔室中、或處理腔室外側。在一些範例中,邊緣耦合環可經由卡匣、裝載室、轉移腔室、及類似者而被供應至腔室。或者,邊緣耦合環可被儲存於處理腔室的外側,但在基板處理工具內側。
現在參考圖21-22,在一些實施例中可省略抬升環。邊緣耦合環1310係設置於底部邊緣耦合環1034及基座的徑向外邊緣上。邊緣耦合環1310可包含一或更多自置中特徵部1316及1320。邊緣耦合環1310可更包含用以承接支柱1210之頂部表面的溝槽1324,該支柱1210係藉由致動器1214而偏置。自置中特徵部1320可抵向底部邊緣耦合環1034之相對應的自置中特徵部1326而設置。在一些範例中,自置中特徵部1320及1326係斜表面。
在圖22中,致動器1214及支柱1210使邊緣耦合環1310向上偏置,從而移除邊緣耦合環1310、或在發生侵蝕後調整電漿輪廓。可使自動機器手臂1102及固持器1104移入邊緣耦合環1310下方的位置。連接至自動機器手臂1102之固持器1104上的自置中特徵部1110可接合自置中特徵部1316。自動機器手臂1102在向上方向上移動以提供溝槽1324與支柱1210之間的空隙,或者藉由致動器1214使支柱1210向下移動以針對溝槽1324提供空隙。
現在參考圖23,顯示在不使處理腔室開放至大氣壓力的情形下更換邊緣耦合環的方法1400。在步驟1404,方法判定邊緣耦合環是否位於抬升環上。若步驟1404係否定的,該方法在步驟1408使用自動機器手臂將邊緣耦合環移入抬升環上的位置中。邊緣耦合環係在處理腔室中位於抬升環上之後,製程運行於步驟1410。在步驟1412,該方法使用上述準則的任何者判定邊緣耦合環是否磨損。若步驟1412係否定的,該方法返回至步驟1410,然後該製程可重新運行。若在步驟1412判定邊緣耦合環磨損,則在步驟1416更換邊緣耦合環,然後該方法於步驟1410繼續進行。
現在參考圖24,方法1500視需要調整可移動邊緣耦合環的位置,以補償侵蝕,且方法1500在可移動邊緣耦合環被判定為磨損時選擇性地更換可移動邊緣耦合環。在步驟1502,該方法判定可移動邊緣耦合環是否位於抬升環上。若步驟1502係否定的,則在步驟1504使邊緣耦合環移入抬升環上的位置中,且該方法於步驟1502繼續進行。
若步驟1502係肯定的,則該方法在步驟1506判定可移動邊緣耦合環的位置是否需要調整。若步驟1506係肯定的,則該方法使用致動器調整可移動邊緣耦合環的位置,然後該方法返回至步驟1506。當步驟1506係否定的,該方法在步驟1506運行製程。在步驟1512,該方法判定可移動邊緣耦合環是否磨損。若否定,則該方法返回至步驟1510。
若步驟1512係肯定的,則該方法在步驟1520判定可移動邊緣耦合環是否處於最高位置(或,完全調整位置)中。若步驟1520係否定的,則該方法在步驟1524使用致動器1214調整可移動邊緣耦合環的位置,然後該方法返回至步驟1510。若步驟1520係肯定的,則該方法使用致動器1064、抬升環1018、及自動機器手臂1102更換可移動邊緣耦合環。
現在參考圖25,顯示在不使處理腔室開放至大氣壓力的情形下更換邊緣耦合環的方法1600。在步驟1610,抬升環及邊緣耦合環係使用致動器而被向上偏置。在步驟1620,使自動機器及固持器移於邊緣耦合環的下方。在步驟1624,使自動機器手臂向上移動,以清空邊緣耦合環的自置中特徵部,或者使抬升環向下移動。在步驟1628,使具有邊緣耦合環的自動機器手臂移出處理腔室。在步驟1632,使邊緣耦合環從自動機器手臂分離。在步驟1636,自動機器手臂拿取替換邊緣耦合環。在步驟1638,邊緣耦合環係定位於抬升環上,且使用一或更多自置中特徵部而對準。在步驟1642,使自動機器手臂降低,以提供自置中特徵部足夠的空隙,然後從腔室移除自動機器手臂。在步驟1646,使抬升環及邊緣耦合環降低至位置中。
前述描述內容本質上僅係說明性的,且絕不意圖限制本揭露內容、其應用、或使用。本揭露內容之廣義教示可以各種形式實施。因此,儘管本揭露內容包含特定的範例,但本揭露內容的真正範疇不應該被如此所限制,因為其他修正將在圖式、說明書、及隨後之申請專利範圍的學習上而變得明顯。如此處所使用,詞組「A、B、及C之至少一者」應被解釋成意指使用非排除性邏輯「或」的邏輯(A或B或C),且不應被解釋成意指「A之至少一者、B之至少一者、及C之至少一者」。應該理解的是,方法內一或更多的步驟可在不改變本揭露內容之原理的情況下以不同的順序(或同時地)加以執行。
在一些實施例中,系統控制器係系統的一部分,該系統可為以上描述範例的一部分。如此系統可包含半導體處理設備,該半導體處理設備包含(複數)處理工具、(複數)腔室、(複數)處理平台、及/或特定的處理元件(晶圓基座、氣體流動系統等)。該等系統可與電子設備整合,以在半導體晶圓或基板的處理之前、期間、以及之後,控制該等系統的運作。該電子設備可稱為「控制器」,其可控制系統或複數系統的各種元件或子部件。取決於處理條件及/或系統類型,系統控制器可程式設計成控制此處所揭露製程的任何者,包含處理氣體的傳送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF )產生器設定、RF匹配電路設定、頻率設定、流速設定、流體傳送設定、位置和操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,控制器可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processors)、定義為特殊用途積體電路(ASIC,application specific integrated circuits )的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式而傳達至控制器或系統的指令,該單獨設定(或程式檔案)為實行特定的製程(在半導體晶圓上,或針對半導體晶圓)定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分:疊層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、以及/或者晶圓的晶粒。
在一些實施例中,控制器可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合的方式而接至系統。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含使得可以進入參數及/或設定、或對參數及/或設定進行程式設計的使用者介面,然後該參數及/或設定自遠端電腦而傳達至系統。在一些範例中,控制器以資料的形式接收指令,該指令為即將於一或更多操作期間進行執行之處理步驟的每一者而指定參數。應該理解,參數可特定地針對待執行之製程的類型、以及控制器與之接合或加以控制之工具的類型。因此如上所述,控制器可為分散式,例如藉由包含以網路的方式接在一起、且朝向共同之目的(例如,此處所描述之處理、及控制)而運作的一或更多的分離的控制器。用於如此目的之分散式控制器的範例將是腔室上與位於遠端的一或更多積體電路(例如,在作業平臺位準處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上之製程。
例示性系統可包含但不限於以下者:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積沉積(PVD,physical vapor deposition)腔室或模組、化學氣相沉積(CVD ,chemical vapor deposition )腔室或模組、原子層沉積(ALD ,atomic layer deposition )腔室或模組、原子層蝕刻(ALE ,atomic layer etch)腔室或模組、離子植入腔室或模組、徑跡腔室(track chamber)或模組、以及可在半導體晶圓的製造和加工中相關聯的、或使用的任何其他半導體處理系統。
如以上所提及,取決於待藉由工具而執行之(複數)製程步驟,控制器可與半導體加工工廠中之一或更多的以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具(cluster tools)、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具將晶圓容器帶至工具位置及/或裝載埠,或自工具位置及/或裝載埠帶來晶圓容器上午 10:30 2021/5/26。
20:基座
30:邊緣耦合環
32:第一環形部
33:基板
34:第二環形部
36:第三環形部
42:電漿
44:電漿
48:邊緣
60:邊緣耦合環
72:第一環形部
74:第二環形部
76:第三環形部
80:致動器
82:電漿
84:電漿
86:邊緣
110:致動器
114:徑向外壁
116:壁
150:邊緣耦合環
154:壓電致動器
156:邊緣
500:基板處理腔室
502:處理腔室
503:邊緣耦合環
504:上電極
505:致動器
506:基座
507:下電極
508:基板
509:噴淋頭
510:RF產生系統
512:匹配及分佈網路
530:氣體傳送系統
532-1:氣體源
532-2:氣體源
532-N:氣體源
534:閥件
534-1:閥件
534-N:閥件
536:質流控制器
536-1:質流控制器
536-N:質流控制器
540:歧管
542:加熱器
550:閥件
552:泵
560:控制器
570:自動機器
572:感測器
573:自動機器手臂
600:方法
610:步驟
614:步驟
618:步驟
624:步驟
628:步驟
700:步驟
710:步驟
714:步驟
718:步驟
724:步驟
728:步驟
800:處理腔室
804:致動器
810:機械連結
811:洞
812:密封件
814:壁
815:洞
830:邊緣耦合環
830-1:邊緣耦合環部件
830-2:邊緣耦合環部件
832-1:邊緣耦合環部件
832-2:邊緣耦合環部件
836-1:致動器
836-2:致動器
840:第一設置位置
850:第二設置位置
900:方法
910:步驟
914:步驟
918:步驟
922:步驟
924:步驟
930:步驟
934:步驟
1010:基座
1014:邊緣耦合環
1018:抬升環
1019:開放部
1020:末端
1021:靜電卡(ESC)
1022:ESC板
1024:ESC板
1026:O型環
1030:ESC板
1032:ESC板
1034:底部邊緣耦合環
1040:自置中特徵部
1044:自置中特徵部
1046:自置中特徵部
1048:自置中特徵部
1050:自置中特徵部
1051:自置中特徵部
1052:自置中特徵部
1054:凸部
1056:溝槽
1057:朝向底部之表面
1060:支柱
1064:致動器
1102:自動機器手臂
1104:固持器
1110:自置中特徵部
1210:支柱
1214:致動器
1220:孔
1224:孔
1228:孔
1238:邊緣耦合環
1240:中間邊緣耦合環
1244:自置中特徵部
1246:自置中特徵部
1248:自置中特徵部
1310:邊緣耦合環
1316:自置中特徵部
1320:自置中特徵部
1324:溝槽
1326:自置中特徵部
1400:方法
1404:步驟
1408:步驟
1412:步驟
1416:步驟
1500:方法
1502:步驟
1504:步驟
1506:步驟
1508:步驟
1510:步驟
1512:步驟
1520:步驟
1524:步驟
1528:步驟
1600:方法
1610:步驟
1620:步驟
1624:步驟
1628:步驟
1632:步驟
1636:步驟
1638:步驟
1642:步驟
1646:步驟
本揭露內容將自實施方式與隨附圖式而得到更完全地理解,其中:
圖1為根據先前技術,基座及邊緣耦合環的側面橫剖面圖;
圖2為根據先前技術,已發生邊緣耦合環侵蝕後之基座及邊緣耦合環的側面橫剖面圖;
圖3為根據本揭露內容,基座、邊緣耦合環、及致動器之範例的側面橫剖面圖;
圖4為已發生邊緣耦合環侵蝕後,圖3之基座、邊緣耦合環、及致動器的側面橫剖面圖;
圖5為已發生邊緣耦合環侵蝕且移動致動器後,圖3之基座、邊緣耦合環、及致動器的側面橫剖面圖;
圖6為根據本揭露內容,基座、邊緣耦合環、及位於另一位置中之致動器之另一範例的側面橫剖面圖;
圖7為根據本揭露內容,基座、邊緣耦合環、及壓電致動器之另一範例的側面橫剖面圖;
圖8為已發生侵蝕且移動壓電致動器後,圖7之基座、邊緣耦合環、及壓電致動器的側面橫剖面圖;
圖9為根據本揭露內容,包含基座、邊緣耦合環、及致動器之基板處理腔室之範例的功能方塊圖;
圖10為根據本揭露內容,說明操作致動器以移動邊緣耦合環之方法範例之步驟的流程圖;
圖11為根據本揭露內容,說明操作致動器以移動邊緣耦合環之另一方法範例之步驟的流程圖;
圖12為根據本揭露內容,處理腔室之範例的功能方塊圖,該處理腔室包含可藉由設置於處理腔室外側之致動器而移動的邊緣耦合環;
圖13A及13B根據本揭露內容,說明邊緣耦合環之側邊至側邊傾斜的範例;
圖14說明在基板的處理期間,移動邊緣耦合環之方法的範例;
圖15為包含邊緣耦合環及抬升環之基座範例的俯視圖;
圖16為邊緣耦合環及抬升環之範例的側面橫剖面圖;
圖17為被抬升環抬起之邊緣耦合環之範例的側面橫剖面圖,然後該邊緣耦合環係藉由自動機器手臂而移除;
圖18為可移動邊緣耦合環及抬升環之範例的側面橫剖面圖;
圖19為處於上升位置之圖18之可移動邊緣耦合環的側面橫剖面圖;
圖20為被抬升環抬起之圖18之邊緣耦合環的側面橫剖面圖,然後該邊緣耦合環係藉由自動機器手臂而移除;
圖21為可移動邊緣耦合環之範例的側面橫剖面圖;
圖22為被致動器抬起之圖21之邊緣耦合環的側面橫剖面圖,然後該邊緣耦合環係藉由自動機器手臂而移除;
圖23為不打開處理腔室情形下替換邊緣耦合環之方法的範例;
圖24為在不打開處理腔室的情形下起因於侵蝕而移動邊緣耦合環,及替換邊緣耦合環之方法的範例;
圖25為在不打開處理腔室的情形下起因於侵蝕而升起邊緣耦合環、及替換邊緣耦合環之方法的範例;
在圖式中,可重複使用參考數字,以識別相似、及/或相同的元件。
20:基座
33:基板
60:邊緣耦合環
72:第一環形部
74:第二環形部
76:第三環形部
84:電漿
800:處理腔室
804:致動器
810:機械連結
811:洞
812:密封件
814:壁
815:洞
Claims (16)
- 一種邊緣環,其係配置成在基板處理系統的處理腔室中藉由一或更多升降銷相對於基座上升及降低,該邊緣環包含: 一上表面; 一環形內直徑; 一環形外直徑; 一下表面;及 一自置中特徵部,位於該邊緣環之上, 其中該自置中特徵部係一斜表面。
- 如請求項1之邊緣環,其中,位於該邊緣環之上的該自置中特徵部係設置成相對於該基座使該邊緣環自置中。
- 如請求項1之邊緣環,其中,該斜表面係在該邊緣環的該下表面之上的一斜表面。
- 如請求項1之邊緣環,其中,該斜表面係在該邊緣環的該下表面之上鄰近該邊緣環的該環形內直徑。
- 如請求項1之邊緣環,更包含位在該邊緣環之上的至少一第二自置中特徵部,其中該斜表面係在該邊緣環的該下表面之上鄰近該邊緣環的該環形內直徑,且該至少一第二自置中特徵部係與該邊緣環的該環形外直徑鄰近的一第二斜表面。
- 如請求項1之邊緣環,其中,該斜表面係在該邊緣環的該下表面之上鄰近該邊緣環的該環形外直徑。
- 如請求項1之邊緣環,更包含位在該邊緣環之上的至少一第二自置中特徵部,其中該斜表面係在該邊緣環的該下表面之上鄰近該邊緣環的該環形外直徑,且該至少一第二自置中特徵部係與該邊緣環的該環形內直徑鄰近的一第二斜表面。
- 如請求項1之邊緣環,更包含位在該邊緣環之上的至少一第二自置中特徵部,其中該斜表面係在該邊緣環的該下表面之上鄰近該邊緣環的該環形外直徑,且該至少一第二自置中特徵部係設置在該邊緣環的該下表面之中。
- 如請求項8之邊緣環,其中,該至少一第二自置中特徵部的至少一內表面具有一線性斜率。
- 如請求項8之邊緣環,其中,該至少一第二自置中特徵部的至少二個內表面具有一線性斜率。
- 如請求項8之邊緣環,其中,該至少一第二自置中特徵部在至少一橫剖面圖中為三角形的。
- 如請求項1之邊緣環,其中,該邊緣環的該下表面係設置以承接該一或更多升降銷。
- 如請求項1之邊緣環,其中該環形內直徑包含一凸部。
- 一種基座,包含請求項1的邊緣環。
- 如請求項14之基座,其中該邊緣環的該環形內直徑係與該基座的一上板件重疊。
- 一種基板處理系統,包含請求項14之基座,且更包含一致動器,配置成將該一或更多升降銷上升及降低而使該邊緣環上升及降低。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/598,943 | 2015-01-16 | ||
US14/598,943 US11605546B2 (en) | 2015-01-16 | 2015-01-16 | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
US14/705,430 US10658222B2 (en) | 2015-01-16 | 2015-05-06 | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
US14/705,430 | 2015-05-06 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202125697A true TW202125697A (zh) | 2021-07-01 |
TWI766510B TWI766510B (zh) | 2022-06-01 |
Family
ID=56408377
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109146436A TWI766510B (zh) | 2015-01-16 | 2016-01-15 | 半導體晶圓處理期間之邊緣程序控制用的可移動邊緣耦合環 |
TW105101160A TWI704645B (zh) | 2015-01-16 | 2016-01-15 | 半導體晶圓處理期間之邊緣程序控制用的可移動邊緣耦合環 |
TW107134225A TWI717638B (zh) | 2015-01-16 | 2016-01-15 | 半導體晶圓處理期間之邊緣程序控制用的可移動邊緣耦合環 |
Family Applications After (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105101160A TWI704645B (zh) | 2015-01-16 | 2016-01-15 | 半導體晶圓處理期間之邊緣程序控制用的可移動邊緣耦合環 |
TW107134225A TWI717638B (zh) | 2015-01-16 | 2016-01-15 | 半導體晶圓處理期間之邊緣程序控制用的可移動邊緣耦合環 |
Country Status (6)
Country | Link |
---|---|
US (3) | US10658222B2 (zh) |
JP (3) | JP6783521B2 (zh) |
KR (4) | KR102537053B1 (zh) |
CN (2) | CN110010432A (zh) |
SG (3) | SG10201600319VA (zh) |
TW (3) | TWI766510B (zh) |
Families Citing this family (285)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
CN104718608A (zh) * | 2012-11-21 | 2015-06-17 | Ev集团公司 | 用于容纳及安装晶片的容纳装置 |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
WO2015087232A1 (en) * | 2013-12-12 | 2015-06-18 | Mes Medical Electronic Systems Ltd. | Home testing device |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10658222B2 (en) | 2015-01-16 | 2020-05-19 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
US11605546B2 (en) | 2015-01-16 | 2023-03-14 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US20160289827A1 (en) * | 2015-03-31 | 2016-10-06 | Lam Research Corporation | Plasma processing systems and structures having sloped confinement rings |
US10017857B2 (en) | 2015-05-02 | 2018-07-10 | Applied Materials, Inc. | Method and apparatus for controlling plasma near the edge of a substrate |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10957561B2 (en) | 2015-07-30 | 2021-03-23 | Lam Research Corporation | Gas delivery system |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10825659B2 (en) | 2016-01-07 | 2020-11-03 | Lam Research Corporation | Substrate processing chamber including multiple gas injection points and dual injector |
CN116110846A (zh) * | 2016-01-26 | 2023-05-12 | 应用材料公司 | 晶片边缘环升降解决方案 |
KR20180099776A (ko) * | 2016-01-26 | 2018-09-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 웨이퍼 에지 링 리프팅 솔루션 |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10312121B2 (en) | 2016-03-29 | 2019-06-04 | Lam Research Corporation | Systems and methods for aligning measurement device in substrate processing systems |
US11011353B2 (en) | 2016-03-29 | 2021-05-18 | Lam Research Corporation | Systems and methods for performing edge ring characterization |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10410832B2 (en) | 2016-08-19 | 2019-09-10 | Lam Research Corporation | Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
JP6812224B2 (ja) * | 2016-12-08 | 2021-01-13 | 東京エレクトロン株式会社 | 基板処理装置及び載置台 |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9947517B1 (en) * | 2016-12-16 | 2018-04-17 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10910195B2 (en) * | 2017-01-05 | 2021-02-02 | Lam Research Corporation | Substrate support with improved process uniformity |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
CN108345178B (zh) * | 2017-01-25 | 2020-11-13 | 上海微电子装备(集团)股份有限公司 | 一种硅片边缘保护装置 |
US10553404B2 (en) * | 2017-02-01 | 2020-02-04 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US11404249B2 (en) | 2017-03-22 | 2022-08-02 | Tokyo Electron Limited | Substrate processing apparatus |
US10790121B2 (en) | 2017-04-07 | 2020-09-29 | Applied Materials, Inc. | Plasma density control on substrate edge |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
KR102658105B1 (ko) * | 2017-05-31 | 2024-04-16 | 램 리써치 코포레이션 | 튜닝가능/교체가능한 에지 커플링 링에 대한 검출 시스템 |
US10504738B2 (en) * | 2017-05-31 | 2019-12-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Focus ring for plasma etcher |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
JP6916303B2 (ja) * | 2017-07-24 | 2021-08-11 | ラム リサーチ コーポレーションLam Research Corporation | 可動エッジリング設計 |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11075105B2 (en) | 2017-09-21 | 2021-07-27 | Applied Materials, Inc. | In-situ apparatus for semiconductor process module |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
JP6966286B2 (ja) | 2017-10-11 | 2021-11-10 | 東京エレクトロン株式会社 | プラズマ処理装置、フォーカスリングの昇降制御方法およびフォーカスリングの昇降制御プログラム |
KR102143290B1 (ko) * | 2017-11-21 | 2020-08-11 | 램 리써치 코포레이션 | 하단 링 및 중간 에지 링 |
CN111316417B (zh) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | 与批式炉偕同使用的用于储存晶圆匣的储存装置 |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
KR102652428B1 (ko) * | 2017-12-15 | 2024-03-27 | 램 리써치 코포레이션 | 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들 |
US11043400B2 (en) * | 2017-12-21 | 2021-06-22 | Applied Materials, Inc. | Movable and removable process kit |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
WO2019158960A1 (en) | 2018-02-14 | 2019-08-22 | Asm Ip Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
JP7055054B2 (ja) | 2018-04-11 | 2022-04-15 | 東京エレクトロン株式会社 | プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム |
JP6995008B2 (ja) * | 2018-04-27 | 2022-01-14 | 東京エレクトロン株式会社 | 基板処理装置 |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
JP7122864B2 (ja) * | 2018-05-14 | 2022-08-22 | 東京エレクトロン株式会社 | クリーニング方法及び基板処理装置 |
KR102242812B1 (ko) * | 2018-05-17 | 2021-04-22 | 세메스 주식회사 | 반송 유닛 및 이를 갖는 기판 처리 장치 |
US11201037B2 (en) | 2018-05-28 | 2021-12-14 | Applied Materials, Inc. | Process kit with adjustable tuning ring for edge uniformity control |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11935773B2 (en) | 2018-06-14 | 2024-03-19 | Applied Materials, Inc. | Calibration jig and calibration method |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
CN112292478A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
TW202405221A (zh) | 2018-06-27 | 2024-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
KR102433436B1 (ko) | 2018-07-04 | 2022-08-17 | 삼성전자주식회사 | 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서 |
CN109065479B (zh) * | 2018-07-27 | 2020-06-16 | 上海华力集成电路制造有限公司 | 硅刻蚀机及其操作方法 |
US11488848B2 (en) * | 2018-07-31 | 2022-11-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated semiconductor die vessel processing workstations |
KR20210111872A (ko) * | 2018-08-13 | 2021-09-13 | 램 리써치 코포레이션 | 에지 링 포지셔닝 및 센터링 피처들을 포함하는 플라즈마 시스 튜닝을 위한 교체가능한 에지 링 어셈블리 및/또는 접을 수 있는 에지 링 어셈블리 |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11404296B2 (en) * | 2018-09-04 | 2022-08-02 | Applied Materials, Inc. | Method and apparatus for measuring placement of a substrate on a heater pedestal |
US11342210B2 (en) | 2018-09-04 | 2022-05-24 | Applied Materials, Inc. | Method and apparatus for measuring wafer movement and placement using vibration data |
US10847393B2 (en) | 2018-09-04 | 2020-11-24 | Applied Materials, Inc. | Method and apparatus for measuring process kit centering |
US11521872B2 (en) * | 2018-09-04 | 2022-12-06 | Applied Materials, Inc. | Method and apparatus for measuring erosion and calibrating position for a moving process kit |
US10794681B2 (en) | 2018-09-04 | 2020-10-06 | Applied Materials, Inc. | Long range capacitive gap measurement in a wafer form sensor system |
JP7115942B2 (ja) * | 2018-09-06 | 2022-08-09 | 東京エレクトロン株式会社 | 載置台、基板処理装置、エッジリング及びエッジリングの搬送方法 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
JP7076351B2 (ja) | 2018-10-03 | 2022-05-27 | 東京エレクトロン株式会社 | プラズマ処理装置、及びリング部材の厚さ測定方法 |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
JP7129307B2 (ja) | 2018-10-10 | 2022-09-01 | 東京エレクトロン株式会社 | 基板支持アセンブリ、プラズマ処理装置、及びプラズマ処理方法 |
KR102134391B1 (ko) * | 2018-10-18 | 2020-07-15 | 세메스 주식회사 | 기판 처리 장치 |
KR102256214B1 (ko) * | 2018-10-18 | 2021-05-25 | 세메스 주식회사 | 기판 처리 장치 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
JP2020087969A (ja) * | 2018-11-15 | 2020-06-04 | 東京エレクトロン株式会社 | プラズマ処理装置、及びリング部材の形状測定方法 |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11289310B2 (en) | 2018-11-21 | 2022-03-29 | Applied Materials, Inc. | Circuits for edge ring control in shaped DC pulsed plasma process device |
US11512393B2 (en) * | 2018-11-29 | 2022-11-29 | Lam Research Corporation | Dynamic sheath control with edge ring lift |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
JP7134104B2 (ja) * | 2019-01-09 | 2022-09-09 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理装置の載置台 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
JP2020115499A (ja) | 2019-01-17 | 2020-07-30 | 東京エレクトロン株式会社 | プラズマ処理装置、及びリング部材の位置ずれ測定方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TW202104632A (zh) | 2019-02-20 | 2021-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
US11393663B2 (en) * | 2019-02-25 | 2022-07-19 | Tokyo Electron Limited | Methods and systems for focus ring thickness determinations and feedback control |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200112447A (ko) | 2019-03-22 | 2020-10-05 | 삼성전자주식회사 | 에지 링을 갖는 기판 처리 장치 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11279032B2 (en) | 2019-04-11 | 2022-03-22 | Applied Materials, Inc. | Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots |
US11018046B2 (en) | 2019-04-12 | 2021-05-25 | Samsung Electronics Co., Ltd. | Substrate processing apparatus including edge ring |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
WO2020214327A1 (en) * | 2019-04-19 | 2020-10-22 | Applied Materials, Inc. | Ring removal from processing chamber |
US20200335368A1 (en) * | 2019-04-22 | 2020-10-22 | Applied Materials, Inc. | Sensors and system for in-situ edge ring erosion monitor |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
TWM589358U (zh) * | 2019-05-10 | 2020-01-11 | 美商蘭姆研究公司 | 半導體製程模組的頂環 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
US10964584B2 (en) | 2019-05-20 | 2021-03-30 | Applied Materials, Inc. | Process kit ring adaptor |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
US11913777B2 (en) * | 2019-06-11 | 2024-02-27 | Applied Materials, Inc. | Detector for process kit ring wear |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
US11626305B2 (en) | 2019-06-25 | 2023-04-11 | Applied Materials, Inc. | Sensor-based correction of robot-held object |
KR20210002175A (ko) | 2019-06-26 | 2021-01-07 | 삼성전자주식회사 | 센서 모듈 및 이를 구비하는 식각 장치 |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
US11211269B2 (en) | 2019-07-19 | 2021-12-28 | Applied Materials, Inc. | Multi-object capable loadlock system |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
EP4010915A4 (en) * | 2019-08-05 | 2023-12-13 | Lam Research Corporation | EDGE RING SYSTEMS FOR SUBSTRATE PROCESSING SYSTEMS |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
TWM602283U (zh) * | 2019-08-05 | 2020-10-01 | 美商蘭姆研究公司 | 基板處理系統用之具有升降銷溝槽的邊緣環 |
US20220328290A1 (en) * | 2019-08-14 | 2022-10-13 | Lam Research Coporation | Moveable edge rings for substrate processing systems |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
JP2021040011A (ja) * | 2019-09-02 | 2021-03-11 | キオクシア株式会社 | プラズマ処理装置 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11443923B2 (en) * | 2019-09-25 | 2022-09-13 | Taiwan Semiconductor Manufacturing Company Ltd. | Apparatus for fabricating a semiconductor structure and method of fabricating a semiconductor structure |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
KR20210042749A (ko) * | 2019-10-10 | 2021-04-20 | 삼성전자주식회사 | 정전 척 및 상기 정전 척을 포함하는 기판 처리 장치 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11370114B2 (en) | 2019-12-09 | 2022-06-28 | Applied Materials, Inc. | Autoteach enclosure system |
JP7263225B2 (ja) * | 2019-12-12 | 2023-04-24 | 東京エレクトロン株式会社 | 搬送するシステム及び方法 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
KR20210089077A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
JP2023515130A (ja) * | 2020-02-24 | 2023-04-12 | ラム リサーチ コーポレーション | エッジリングの高度管理のための二重リフト機構を備える半導体処理チャンバ |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
JP2021150424A (ja) * | 2020-03-18 | 2021-09-27 | キオクシア株式会社 | エッジリング及びプラズマ処理装置 |
US11551916B2 (en) | 2020-03-20 | 2023-01-10 | Applied Materials, Inc. | Sheath and temperature control of a process kit in a substrate processing chamber |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
USD954769S1 (en) | 2020-06-02 | 2022-06-14 | Applied Materials, Inc. | Enclosure system shelf |
USD980176S1 (en) | 2020-06-02 | 2023-03-07 | Applied Materials, Inc. | Substrate processing system carrier |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US11749543B2 (en) * | 2020-07-06 | 2023-09-05 | Applied Materials, Inc. | Chamber matching and calibration |
JP7455012B2 (ja) | 2020-07-07 | 2024-03-25 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理装置の載置台 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11380575B2 (en) | 2020-07-27 | 2022-07-05 | Applied Materials, Inc. | Film thickness uniformity improvement using edge ring and bias electrode geometry |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US11623321B2 (en) * | 2020-10-14 | 2023-04-11 | Applied Materials, Inc. | Polishing head retaining ring tilting moment control |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202221833A (zh) | 2020-10-19 | 2022-06-01 | 日商東京威力科創股份有限公司 | 載置台及基板處理裝置 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
WO2022108789A1 (en) * | 2020-11-19 | 2022-05-27 | Applied Materials, Inc. | Ring for substrate extreme edge protection |
CN114530361A (zh) * | 2020-11-23 | 2022-05-24 | 中微半导体设备(上海)股份有限公司 | 下电极组件、等离子体处理装置和更换聚焦环的方法 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
WO2022163582A1 (ja) * | 2021-01-29 | 2022-08-04 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP7293517B2 (ja) * | 2021-02-09 | 2023-06-19 | 東京エレクトロン株式会社 | 基板処理システム及び搬送方法 |
CN113097038B (zh) * | 2021-02-25 | 2022-07-15 | 长江存储科技有限责任公司 | 刻蚀装置 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
US11721569B2 (en) | 2021-06-18 | 2023-08-08 | Applied Materials, Inc. | Method and apparatus for determining a position of a ring within a process kit |
KR102593139B1 (ko) * | 2021-07-28 | 2023-10-25 | 세메스 주식회사 | 지지 유닛, 이를 포함하는 기판 처리 장치 및 기판 처리 방법 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
KR102427214B1 (ko) * | 2021-11-12 | 2022-08-01 | 비씨엔씨 주식회사 | 결합 및 분해가 가능한 반도체용 포커스 링 조립체 |
WO2023224855A1 (en) * | 2022-05-17 | 2023-11-23 | Lam Research Corporation | Self-centering edge ring |
WO2024030307A1 (en) * | 2022-08-03 | 2024-02-08 | Lam Research Corporation | System and method to maintain constant clamping pressure during chamber rebooting and power failure instances |
Family Cites Families (58)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5304248A (en) * | 1990-12-05 | 1994-04-19 | Applied Materials, Inc. | Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions |
JP2638443B2 (ja) | 1993-08-31 | 1997-08-06 | 日本電気株式会社 | ドライエッチング方法およびドライエッチング装置 |
TW254030B (en) * | 1994-03-18 | 1995-08-11 | Anelva Corp | Mechanic escape mechanism for substrate |
US5762714A (en) | 1994-10-18 | 1998-06-09 | Applied Materials, Inc. | Plasma guard for chamber equipped with electrostatic chuck |
JP2713276B2 (ja) * | 1995-12-07 | 1998-02-16 | 日本電気株式会社 | 半導体装置の製造装置およびこれを用いた半導体装置の製造方法 |
JP2001525997A (ja) * | 1997-05-20 | 2001-12-11 | 東京エレクトロン株式会社 | 処理装置 |
US6186092B1 (en) * | 1997-08-19 | 2001-02-13 | Applied Materials, Inc. | Apparatus and method for aligning and controlling edge deposition on a substrate |
US6022809A (en) | 1998-12-03 | 2000-02-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Composite shadow ring for an etch chamber and method of using |
JP2000232149A (ja) * | 1999-02-09 | 2000-08-22 | Toshiba Corp | 半導体ウエハ収納容器の載置位置決め機構 |
US6206976B1 (en) | 1999-08-27 | 2001-03-27 | Lucent Technologies Inc. | Deposition apparatus and related method with controllable edge exclusion |
US6589352B1 (en) * | 1999-12-10 | 2003-07-08 | Applied Materials, Inc. | Self aligning non contact shadow ring process kit |
JP4416892B2 (ja) * | 2000-01-04 | 2010-02-17 | 株式会社アルバック | マスク及び真空処理装置 |
JP2001230239A (ja) * | 2000-02-15 | 2001-08-24 | Tokyo Electron Ltd | 処理装置及び処理方法 |
US6451703B1 (en) * | 2000-03-10 | 2002-09-17 | Applied Materials, Inc. | Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas |
JP4422295B2 (ja) * | 2000-05-17 | 2010-02-24 | キヤノンアネルバ株式会社 | Cvd装置 |
TW506234B (en) * | 2000-09-18 | 2002-10-11 | Tokyo Electron Ltd | Tunable focus ring for plasma processing |
JP3388228B2 (ja) * | 2000-12-07 | 2003-03-17 | 株式会社半導体先端テクノロジーズ | プラズマエッチング装置、及びプラズマエッチング方法 |
US6805952B2 (en) * | 2000-12-29 | 2004-10-19 | Lam Research Corporation | Low contamination plasma chamber components and methods for making the same |
US6744212B2 (en) | 2002-02-14 | 2004-06-01 | Lam Research Corporation | Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions |
JP2003282680A (ja) * | 2002-03-20 | 2003-10-03 | Seiko Epson Corp | 基板リフター、クランプリング及び基板処理装置 |
JP4323764B2 (ja) * | 2002-07-16 | 2009-09-02 | 大日本スクリーン製造株式会社 | 熱処理装置 |
US6868302B2 (en) * | 2002-03-25 | 2005-03-15 | Dainippon Screen Mfg. Co., Ltd. | Thermal processing apparatus |
US6896765B2 (en) * | 2002-09-18 | 2005-05-24 | Lam Research Corporation | Method and apparatus for the compensation of edge ring wear in a plasma processing chamber |
US7311784B2 (en) | 2002-11-26 | 2007-12-25 | Tokyo Electron Limited | Plasma processing device |
US6898558B2 (en) | 2002-12-31 | 2005-05-24 | Tokyo Electron Limited | Method and apparatus for monitoring a material processing system |
US7138067B2 (en) * | 2004-09-27 | 2006-11-21 | Lam Research Corporation | Methods and apparatus for tuning a set of plasma processing steps |
JP4564498B2 (ja) * | 2004-10-15 | 2010-10-20 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及び基板処理方法 |
JP2006173223A (ja) * | 2004-12-14 | 2006-06-29 | Toshiba Corp | プラズマエッチング装置およびそれを用いたプラズマエッチング方法 |
JP4707421B2 (ja) * | 2005-03-14 | 2011-06-22 | 東京エレクトロン株式会社 | 処理装置,処理装置の消耗部品管理方法,処理システム,処理システムの消耗部品管理方法 |
US20070224709A1 (en) * | 2006-03-23 | 2007-09-27 | Tokyo Electron Limited | Plasma processing method and apparatus, control program and storage medium |
US7968469B2 (en) | 2007-01-30 | 2011-06-28 | Applied Materials, Inc. | Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity |
JP5317424B2 (ja) | 2007-03-28 | 2013-10-16 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP2008300387A (ja) * | 2007-05-29 | 2008-12-11 | Speedfam Co Ltd | 局所ドライエッチング装置のエッチングガス流制御装置及び方法 |
US7824146B2 (en) * | 2007-09-07 | 2010-11-02 | Advanced Technology Development Facility | Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters |
JP4858395B2 (ja) * | 2007-10-12 | 2012-01-18 | パナソニック株式会社 | プラズマ処理装置 |
US8999106B2 (en) | 2007-12-19 | 2015-04-07 | Applied Materials, Inc. | Apparatus and method for controlling edge performance in an inductively coupled plasma chamber |
US7754518B2 (en) * | 2008-02-15 | 2010-07-13 | Applied Materials, Inc. | Millisecond annealing (DSA) edge protection |
US8287650B2 (en) * | 2008-09-10 | 2012-10-16 | Applied Materials, Inc. | Low sloped edge ring for plasma processing chamber |
US20100122655A1 (en) * | 2008-11-14 | 2010-05-20 | Tiner Robin L | Ball supported shadow frame |
JP5071437B2 (ja) * | 2009-05-18 | 2012-11-14 | パナソニック株式会社 | プラズマ処理装置及びプラズマ処理装置におけるトレイの載置方法 |
US8409995B2 (en) * | 2009-08-07 | 2013-04-02 | Tokyo Electron Limited | Substrate processing apparatus, positioning method and focus ring installation method |
JP5650935B2 (ja) * | 2009-08-07 | 2015-01-07 | 東京エレクトロン株式会社 | 基板処理装置及び位置決め方法並びにフォーカスリング配置方法 |
US8270141B2 (en) * | 2009-11-20 | 2012-09-18 | Applied Materials, Inc. | Electrostatic chuck with reduced arcing |
JP5584517B2 (ja) | 2010-05-12 | 2014-09-03 | 東京エレクトロン株式会社 | プラズマ処理装置及び半導体装置の製造方法 |
JP5719599B2 (ja) * | 2011-01-07 | 2015-05-20 | 東京エレクトロン株式会社 | 基板処理装置 |
US20120237682A1 (en) * | 2011-03-18 | 2012-09-20 | Applied Materials, Inc. | In-situ mask alignment for deposition tools |
JP6003011B2 (ja) * | 2011-03-31 | 2016-10-05 | 東京エレクトロン株式会社 | 基板処理装置 |
JP2012222235A (ja) * | 2011-04-12 | 2012-11-12 | Hitachi High-Technologies Corp | プラズマ処理装置 |
KR101926571B1 (ko) | 2011-05-31 | 2018-12-10 | 어플라이드 머티어리얼스, 인코포레이티드 | 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구 |
JP5948026B2 (ja) | 2011-08-17 | 2016-07-06 | 東京エレクトロン株式会社 | 半導体製造装置及び処理方法 |
US8933628B2 (en) | 2011-10-28 | 2015-01-13 | Applied Materials, Inc. | Inductively coupled plasma source with phase control |
US9376752B2 (en) * | 2012-04-06 | 2016-06-28 | Applied Materials, Inc. | Edge ring for a deposition chamber |
KR101974420B1 (ko) * | 2012-06-08 | 2019-05-02 | 세메스 주식회사 | 기판처리장치 및 방법 |
US9997381B2 (en) * | 2013-02-18 | 2018-06-12 | Lam Research Corporation | Hybrid edge ring for plasma wafer processing |
CN107424901B (zh) * | 2013-03-12 | 2019-06-11 | 应用材料公司 | 具有方位角与径向分布控制的多区域气体注入组件 |
US9425077B2 (en) * | 2013-03-15 | 2016-08-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor apparatus with transportable edge ring for substrate transport |
WO2015099892A1 (en) | 2013-12-23 | 2015-07-02 | Applied Materials, Inc. | Extreme edge and skew control in icp plasma reactor |
US10658222B2 (en) | 2015-01-16 | 2020-05-19 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
-
2015
- 2015-05-06 US US14/705,430 patent/US10658222B2/en active Active
-
2016
- 2016-01-13 JP JP2016004302A patent/JP6783521B2/ja active Active
- 2016-01-14 KR KR1020160004905A patent/KR102537053B1/ko active IP Right Grant
- 2016-01-15 TW TW109146436A patent/TWI766510B/zh active
- 2016-01-15 TW TW105101160A patent/TWI704645B/zh active
- 2016-01-15 SG SG10201600319VA patent/SG10201600319VA/en unknown
- 2016-01-15 SG SG10201906516XA patent/SG10201906516XA/en unknown
- 2016-01-15 SG SG10201808035YA patent/SG10201808035YA/en unknown
- 2016-01-15 TW TW107134225A patent/TWI717638B/zh active
- 2016-01-18 CN CN201811243095.4A patent/CN110010432A/zh active Pending
- 2016-01-18 CN CN201610032252.1A patent/CN105810609B/zh active Active
-
2018
- 2018-09-14 US US16/131,822 patent/US20190013232A1/en not_active Abandoned
- 2018-09-27 KR KR1020180114808A patent/KR20180110653A/ko active Application Filing
- 2018-10-01 JP JP2018186353A patent/JP6863941B2/ja active Active
-
2021
- 2021-01-18 JP JP2021005504A patent/JP2021073705A/ja active Pending
- 2021-02-22 US US17/181,571 patent/US20210183687A1/en active Pending
- 2021-06-21 KR KR1020210079769A patent/KR20210080300A/ko not_active IP Right Cessation
-
2023
- 2023-09-26 KR KR1020230128782A patent/KR20230144988A/ko active Application Filing
Also Published As
Publication number | Publication date |
---|---|
US20160211166A1 (en) | 2016-07-21 |
TWI717638B (zh) | 2021-02-01 |
CN105810609B (zh) | 2021-06-25 |
JP2021073705A (ja) | 2021-05-13 |
CN105810609A (zh) | 2016-07-27 |
US20210183687A1 (en) | 2021-06-17 |
KR20180110653A (ko) | 2018-10-10 |
KR20230144988A (ko) | 2023-10-17 |
CN110010432A (zh) | 2019-07-12 |
TWI704645B (zh) | 2020-09-11 |
KR20160088820A (ko) | 2016-07-26 |
JP6863941B2 (ja) | 2021-04-21 |
TW201639074A (zh) | 2016-11-01 |
TW201901850A (zh) | 2019-01-01 |
KR20210080300A (ko) | 2021-06-30 |
SG10201906516XA (en) | 2019-08-27 |
JP6783521B2 (ja) | 2020-11-11 |
US20190013232A1 (en) | 2019-01-10 |
KR102537053B1 (ko) | 2023-05-25 |
JP2016146472A (ja) | 2016-08-12 |
US10658222B2 (en) | 2020-05-19 |
SG10201600319VA (en) | 2016-08-30 |
TWI766510B (zh) | 2022-06-01 |
JP2019024109A (ja) | 2019-02-14 |
SG10201808035YA (en) | 2018-10-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI704645B (zh) | 半導體晶圓處理期間之邊緣程序控制用的可移動邊緣耦合環 | |
JP6976686B2 (ja) | エッジリング特性評価を実行するためのシステムおよび方法 | |
US11605546B2 (en) | Moveable edge coupling ring for edge process control during semiconductor wafer processing | |
JP7220251B2 (ja) | ミドルリング | |
TWI796249B (zh) | 可運動的邊緣環設計 | |
KR20210062094A (ko) | 베벨 에칭기 (bevel etcher) 를 위한 하부 플라즈마 배제 존 링 | |
US20230369025A1 (en) | High precision edge ring centering for substrate processing systems |