JP2021073705A - 半導体ウエハ処理中におけるエッジ処理制御のための可動式エッジ連結リング - Google Patents

半導体ウエハ処理中におけるエッジ処理制御のための可動式エッジ連結リング Download PDF

Info

Publication number
JP2021073705A
JP2021073705A JP2021005504A JP2021005504A JP2021073705A JP 2021073705 A JP2021073705 A JP 2021073705A JP 2021005504 A JP2021005504 A JP 2021005504A JP 2021005504 A JP2021005504 A JP 2021005504A JP 2021073705 A JP2021073705 A JP 2021073705A
Authority
JP
Japan
Prior art keywords
ring
connecting ring
edge connecting
edge
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021005504A
Other languages
English (en)
Inventor
ハオクアン・ヤン
Haoquan Yan
ロバート・グリフィス・オニール
Griffith O'neill Robert
ラファエル・カサエス
Casaes Raphael
ジョン・マケズニー
Macchesney Jon
アレックス・パターソン
Paterson Alex
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/598,943 external-priority patent/US11605546B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021073705A publication Critical patent/JP2021073705A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/023Means for mechanically adjusting components not otherwise provided for
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy

Abstract

【課題】半導体ウエハ処理中におけるエッジ処理制御のための可動式エッジ連結リングを提供する。【解決手段】基板処理システムは、処理チャンバと、処理チャンバに配置されている台座20とを備える。エッジ連結リング60は、台座の半径方向外縁部に隣接して配置される。第1のアクチュエータは、エッジ連結リングを台座に対して上昇位置に選択的に移動し、ロボットアームがエッジ連結リングを処理チャンバから取り除くことができるように、エッジ連結リングと台座との間に隙間を設けている。【選択図】図3

Description

関連出願の相互参照
本願は、2015年1月22日に出願された米国特許出願第14/598,943号の一部継続出願である。上記参照した出願の全ての開示は、参考として本明細書に組み込まれる。
本願は基板処理システムに関し、特に、基板処理システムのエッジ連結リングに関する。
本明細書で述べる背景技術の説明は、一般的な開示の内容を示す目的のためである。この背景技術の部分における記載だけでなく、出願時における先行技術となり得ない説明の態様の範囲内で、本願の発明者の研究は、明示的にも黙示的にも本開示に対する先行技術には該当しない。
基板処理システムは、半導体ウエハなどの基板のエッチングおよび/または他の処理を実施するために用いられ得る。基板は、基板処理システムの処理チャンバ内の台座上に配置され得る。例えば、プラズマ励起化学気相堆積(PECVD)処理でのエッチング時に、1つ以上の前駆体を含むガス混合物が処理チャンバに導入され、プラズマが基板をエッチングするために照射される。
基板の半径方向外縁部付近のプラズマのエッチング速度および/またはエッチングプロファイルを調整するために、エッジ連結リングが用いられてきた。エッジ連結リングは、一般に、基板の半径方向外縁部周辺の台座上に配置される。基板の半径方向外縁部における処理条件は、エッジ連結リングの位置、エッジ連結リングの内縁部の形状またはプロファイル、基板の上面に対するエッジ連結リングの高さ、エッジ連結リングの材料などを変更することによって修正できる。
エッジ連結リングの交換は、望ましくない処理チャンバの開放を必要とする。つまり、エッジ連結リングのエッジ連結効果は、処理チャンバを開放せずには変更できない。エッジ連結リングがエッチング時にプラズマによって侵食されると、エッジ連結効果は変わる。エッジ連結リングの侵食の修正には、エッジ連結リングを交換するために処理チャンバの開放を必要とする。
ここで図1−2を参照すると、基板処理システムは、台座20とエッジ連結リング30を備えてよい。エッジ連結リング30は、1体または2つ以上の部分を備え得る。図1−2の例では、エッジ連結リング30は、基板33の半径方向外縁部付近に配置されている第1の環状部32を備える。第2の環状部34は、第1の環状部から半径方向内側の基板33より下側に位置する。第3の環状部36は、第1の環状部32より下側に配置される。使用時には、基板33の露出部分をエッチングするために、プラズマ42が基板33に向けられる。基板33の均一なエッチングが生じるように、エッジ連結リング30はプラズマの成形を助けるように構成される。
図2では、エッジ連結リング30が用いられた後に、エッジ連結リング30の半径方向内側部分の上面は、48で特定されるように侵食を示し得る。結果として、プラズマ42は、44で見られるように、基板33の半径方向内側部分のエッチングよりも速い速度でその半径方向外縁部をエッチングする傾向があってよい。
基板処理システムは、処理チャンバと、処理チャンバ内に配置されている台座とを備える。エッジ連結リングは、台座の半径方向外縁部に隣接して配置される。第1のアクチュエータは、ロボットアームがエッジ連結リングを処理チャンバから取り出せるように、エッジ連結リングと台座との間に隙間を設けるために、エッジ連結リングを台座に対して上昇位置に選択的に動かすように構成されている。
他の特徴では、エッジ連結リングの少なくとも一部より下側に昇降リングが配置される。第1のアクチュエータは昇降リングを付勢し、昇降リングはエッジ連結リングを付勢する。第1のアクチュエータと昇降リングとの間にピラーが配置される。ロボットアームは、エッジ連結リングと昇降リングが上昇位置にあるときは、エッジ連結リングを処理チャンバから取り除くように構成されている。ロボットアームにはホルダが接続されている。ホルダは、エッジ連結リングの自動センタリング機構と結合する自動センタリング機構を備える。エッジ連結リングは、昇降リングの自動センタリング機構と結合する自動センタリング機構を備える。
他の特徴では、エッジ連結リングの少なくとも一部と昇降リングの下方に底部エッジ連結リングが配置されている。底部エッジ連結リングは、昇降リングの自動センタリング機構と結合する自動センタリング機構を備える。
他の特徴では、昇降リングは、半径方向外向きに延びる突出部を備える。突出部は、その底部対向面上に形成された溝を含む。溝は、エッジ連結リングが上昇しているときにピラーによって付勢される。
他の特徴では、処理チャンバの大気圧への開放を必要とせずに、ロボットアームがエッジ連結リングを処理チャンバから取り除く。第2のアクチュエータは、エッジ連結リングのエッジ連結プロファイルを変更するために、エッジ連結リングを昇降リングに対して動かすように構成されている。エッジ連結リングの少なくとも一部と昇降リングとの間には中間エッジ連結リングが配置されている。第2のアクチュエータがエッジ連結リングを昇降リングに対して動かすときは、中間エッジ連結リングは静止したままである。
他の特徴では、エッジ連結リングのプラズマ対向面の侵食に応じて、コントローラが第2のアクチュエータを用いてエッジ連結リングを動かすように構成されている。コントローラは、エッジ連結リングが所定の回数のエッチングサイクルに曝された後に、第2のアクチュエータを用いてエッジ連結リングを自動的に動かすように構成されている。コントローラは、エッジ連結リングが所定の期間のエッチングに曝された後に、第2のアクチュエータを用いてエッジ連結リングを自動的に動かすように構成されている。
他の特徴では、センサが、コントローラと連通してエッジ連結リングの侵食を検出するように構成されている。ロボットアームは、コントローラと連通してセンサの位置を調整するように構成されている。コントローラは、エッジ連結リングを、第1のエッジ連結効果を用いる第1の基板処理のために第2のアクチュエータを用いて第1の位置に動かし、次に、第1のエッジ連結効果とは異なる第2のエッジ連結効果を用いる第2の基板処理のために、第2のアクチュエータを用いて第2の位置に動かすように構成されている。
基板処理システムにおいてエッジ連結リングを維持するための方法は、エッジ連結リングを処理チャンバ内の台座の半径方向外縁部に隣接して配置すること、第1のアクチュエータを用いてエッジ連結リングを台座に対して上昇位置に選択的に動かすこと、エッジ連結リングが上昇位置にあるときは、ロボットアームを用いて連結リングを交換すること、を含む。
他の特徴では、この方法は、エッジ連結リングの少なくとも一部より下側に昇降リングを配置することを含む。アクチュエータは昇降リングを付勢し、昇降リングはエッジ連結リングを付勢する。この方法は、第1のアクチュエータと昇降リングとの間にピラーを配置することを含む。この方法は、ロボットアームにホルダを取り付けることを含む。ホルダは、エッジ連結リングの自動センタリング機構と結合する自動センタリング機構を備える。この方法は、昇降リングの自動センタリング機構と結合させるためにエッジ連結リングの自動センタリング機構を用いることを含む。
他の特徴では、この方法は、エッジ連結リングの少なくとも一部および昇降リングより下側に底部エッジ連結リングを配置することを含む。この方法は、昇降リングの自動センタリング機構と結合させるために底部エッジ連結リングの自動センタリング機構を用いることを含む。昇降リングは、半径方向外向きに延びる突出部を備える。突出部は、その底部対向面に形成された溝を含む。溝は、エッジ連結リングが上昇しているときにピラーによって付勢される。
他の特徴では、この方法は、第2のアクチュエータを用いてエッジ連結リングを昇降リングに対して動かし、エッジ連結リングのエッジ連結プロファイルを変更することを含む。この方法は、エッジ連結リングの少なくとも一部と昇降リングとの間に中間エッジ連結リングを配置することを含み、第2のアクチュエータがエッジ連結リングを昇降リングに対して動かすときは、中間エッジ連結リングは静止したままである。
他の特徴では、この方法は、エッジ連結リングのプラズマ対向面の侵食に応じて、第2のアクチュエータを用いてエッジ連結リングを動かすことを含む。この方法は、エッジ連結リングが所定の回数のエッチングサイクルにさらされた後に、エッジ連結リングを自動的に動かすことを含む。この方法は、エッジ連結リングが所定の期間のエッチングにさらされた後に、エッジ連結リングを自動的に動かすことを含む。
他の特徴では、この方法は、センサを用いてエッジ連結リングの侵食を検出することを含む。この方法は、エッジ連結リングを、第1のエッジ連結効果を用いる第1の基板処理のために第2のアクチュエータを用いて第1の位置に動かし、次に、第1のエッジ連結効果とは異なる第2のエッジ連結効果を用いる第2の基板処理のために、第2のアクチュエータを用いて第2の位置に動かすことを含む。
本開示のさらなる分野の適用可能性は、実施するための形態、請求項、および図面から明らかになろう。実施するための形態および特定の例は、例示のみを目的としており、開示範囲を制限することを意図していない。
本開示は、実施するための形態および添付図面からさらに詳しく理解されるだろう。
先行技術における台座およびエッジ連結リングを示す側断面図。
エッジ連結リングの侵食が生じた後の、先行技術における台座およびエッジ連結リングを示す側断面図。
本開示に従う台座、エッジ連結リング、およびアクチュエータの例を示す側断面図。
エッジ連結リングの侵食が生じた後の、図3の台座、エッジ連結リング、およびアクチュエータを示す側断面図。
エッジ連結リングの侵食が生じ、アクチュエータが移動した後の、図3の台座、エッジ連結リング、およびアクチュエータを示す側断面図。
本開示に従う別の位置に配置された台座、エッジ連結リング、およびアクチュエータの別の例を示す側断面図。
本開示に従う台座、エッジ連結リング、および電圧アクチュエータの別の例を示す側断面図。
侵食が生じ、電圧アクチュエータが移動した後の、図7の台座、エッジ連結リング、および電圧アクチュエータを示す側断面図。
本開示に従う台座、エッジ連結リング、およびアクチュエータを備える基板処理チャンバの例を示す機能ブロック図。
本開示に従うエッジ連結リングを動かすためにアクチュエータを操作する方法例の工程を示すフローチャート。
本開示に従うエッジ連結リングを動かすためにアクチュエータを操作する方法の別の例の工程を示すフローチャート。
本開示に従う処理チャンバの外に配置されているアクチュエータによって移動可能なエッジ連結リングを備える処理チャンバの例を示す機能ブロック図。
本開示に従うエッジ連結リングの左右の傾斜を示す例示図。 本開示に従うエッジ連結リングの左右の傾斜を示す例示図。
基板処理時にエッジ連結リングを動かす方法を示す例示図。
エッジ連結リングおよび昇降リングを備える台座の例を示す平面図。
エッジ連結リングおよび昇降リングの例を示す側断面図。
昇降リングによって持ち上げられているエッジ連結リングと、ロボットアームによって取り出されているエッジ連結リングの例を示す側断面図。
可動式エッジ連結リングおよび昇降リングの例を示す側断面図。
上昇位置にある図18の可動式エッジ連結リングを示す側断面図。
昇降リングによって持ち上げられている図18のエッジ連結リングと、ロボットアームによって取り出されているエッジ連結リングを示す側断面図。
可動式エッジ連結リングの例を示す側断面図。
アクチュエータによって持ち上げられ、ロボットアームによって取り出されている図21のエッジ連結リングを示す側断面図。
処理チャンバを開放せずにエッジ連結リングを交換する方法を示す例示図。
侵食に伴いエッジ連結リングを動かし、処理チャンバを開放せずにエッジ連結リングを交換する方法を示す例示図。
侵食に伴いエッジ連結リングを持ち上げ、処理チャンバを開放せずにエッジ連結リングを交換する方法を示す例示図。
図面では、類似および/または同一の要素を識別するために参照番号は再利用されてよい。
本開示は、エッジ連結リングの1つ以上の部分が、基板処理システムにおいて基板または台座に対して垂直および/または水平に移動するようにする。この動きは、処理チャンバを開放する必要なしに、エッチング時または他の基板処理時における基板に対するプラズマのエッジ連結効果を変更する。
次に図3−5を参照すると、基板処理システムは、台座20およびエッジ連結リング60を備えている。エッジ連結リング60は、単一部分で構成されるか、2つ以上の部分が用いられてよい。図3−5の例では、エッジ連結リング60は、基板33の半径方向外側に配置されている第1の環状部72を備えている。第2の環状部74は、基板33より下の第1の環状部72から半径方向内側に位置する。第3の環状部76は、第1の環状部72より下に配置されている。
アクチュエータ80は、以下でさらに説明するように、エッジ連結リング60の1つ以上の部分を基板33に対して移動させるように様々な位置に配置されてよい。例えば、図3では、アクチュエータ80は、エッジ連結リング60の第1の環状部72とエッジ連結リング60の第3の環状部76との間に配置されている。いくつかの例では、アクチュエータ80は、圧電アクチュエータ、ステッパモータ、空気圧式駆動、または他の適したアクチュエータを含んでよい。いくつかの例では、1つ、2つ、3つ、または4つ以上のアクチュエータが用いられる。いくつかの例では、複数のアクチュエータが、エッジ連結リング60の周りに均一に配置される。アクチュエータ80は、処理チャンバの内側または外側に配置されてよい。
使用時には、基板33の露出した部分をエッチングするため、プラズマ82が基板33に向けられる。エッジ連結リング60は、基板33の均一なエッチングが生じるように、プラズマ電界の形成を助けるように配置される。図4の84および86で見られるように、エッジ連結リング60の1つ以上の部分は、プラズマ82によって侵食され得る。侵食の結果、基板33の半径方向外端部周辺では基板33の不均一なエッチングが生じてよい。通常、処理が停止し、処理チャンバが開放されて、エッジ連結リングが交換される必要がある。
図5では、アクチュエータ80を用いてエッジ連結リング60の1つ以上の部分を動かし、エッジ連結リング60の1つ以上の部分の位置を変更する。例えば、アクチュエータ80は、エッジ連結リング60の第1の環状部72を動かすために用いられてよい。この例では、アクチュエータ80は、エッジ連結リング60の第1の環状部72の端部86が基板33の半径方向外端部に対して高くなるように、エッジ連結リング60の第1の環状部72を上向きまたは垂直方向に動かす。その結果、基板33の半径方向外端部周辺のエッチング均一性は向上する。
次に図6を参照すると、理解できるように、アクチュエータは、1つ以上の他の位置に配置されて、水平や斜めなど他の方向に動いてよい。エッジ連結リングの部分の水平動作は、基板に対するエッジ連結効果を集中させるために実施されてよい。図6では、アクチュエータ110は、エッジ連結リング60の半径方向外側に配置されている。さらに、アクチュエータ110は、水平(または左右)方向だけでなく垂直(または上下)方向にも動く。基板のエッチングが基板に対してエッジ連結リングの横オフセットを示すときは、水平再配置が用いられてよい。横オフセットは、処理チャンバを開放せずに修正されてよい。同様に、エッジ連結リングの傾動は、左右非対称を修正または生成するためにいくつかのアクチュエータを他のアクチュエータと異なるように作動させることによって実施されてよい。
アクチュエータ110は、エッジ連結リングの環状部の間に配置されるのではなく、114で確認される半径方向の外壁または他の構造に取り付けられ得る。あるいは、アクチュエータ110は、116で確認される壁または他の構造によって下側から支えられてよい。
次に図7−8を参照すると、エッジ連結リング150および圧電アクチュエータ154の別の例が示されている。この例では、圧電アクチュエータ154は、エッジ連結リング150を動かす。圧電アクチュエータ154は、エッジ連結リング60の第1の環状部72および第3の環状部76に搭載されている。図8では、圧電アクチュエータ154は、エッジ連結リング150の第1の環状部72を動かして、第1の環状部72の端部156の位置を調整する。
次に図9を参照すると、プラズマを用いてエッチングを実施するための基板処理チャンバ500の例が示されている。基板処理チャンバ500は、基板処理チャンバ500の他の構成部品を囲みRFプラズマを含む処理チャンバ502を備える。基板処理チャンバ500は、上部電極504、および下部電極507を含む台座506を備える。エッジ連結リング503は、台座506に支持され、基板508を囲むように配置される。エッジ連結リング503を動かすために、1つ以上のアクチュエータ505が用いられてよい。操作時に、基板508は、上部電極504と下部電極507との間にある台座506の上に配置される。
ほんの一例として、上部電極504は、処理ガスを導入および分配するシャワーヘッド509を備えてよい。シャワーヘッド509は、処理チャンバの上面に接続されている一端を含む軸部を備えてよい。基体は、一般に円筒形であり、処理チャンバの上面から離間している位置で軸部の反対端から半径方向外向きに延びる。シャワーヘッドの基体の基板対向面または面板は、処理ガスまたはパージガスが通る複数の穴を備える。あるいは、上部電極504は導電板を備えてよく、処理ガスは別の方法で導入されてよい。下部電極507は、非導電性の台座に配置されてよい。あるいは、台座506は、下部電極507として機能する導電板を含む静電チャックを備えてよい。
RF発生システム510は、RF電圧を発生させて上部電極504および下部電極507のいずれか一方に出力する。上部電極504および下部電極507のいずれか他方は、DC接地またはAC接地されるか、浮動的であってよい。ほんの一例として、RF発生システム510は、整合式分配ネットワーク512によって上部電極504または下部電極507に供給されるRF電圧を発生するRF電圧発生器511を備えてよい。他の例では、プラズマは誘導的にまたは遠隔的に発生されてよい。
ガス供給システム530は、1つ以上のガス源532−1、532−2、...、および532−N(一括してガス源532)を備える(Nがゼロよりも大きい整数の場合)。これらのガス源は、1つ以上の前駆体およびその混合物を供給する。これらのガス源は、パージガスも供給してよい。気化した前駆体が用いられてもよい。ガス源532は、弁534−1、534−2、...、および534−N(一括して弁534)、ならびにマスフローコントローラ536−1、536−2、...、および536−N(一括してマスフローコントローラ536)によってマニホールド540に接続される。マニホールド540の出力は、処理チャンバ502に供給される。ほんの一例として、マニホールド540の出力は、シャワーヘッド509に供給される。
ヒータ542は、台座506に配置されているヒータコイル(図示せず)に接続され得る。ヒータ542は、台座506および基板508の温度を制御するために用いられ得る。弁550およびポンプ552は、処理チャンバ502から反応生成物を排出するのに用いられてよい。コントローラ560は、基板処理チャンバ500の構成部品を制御するために用いられ得る。コントローラ560はまた、エッジ連結リング503の1つ以上の部分の位置を調整するためにアクチュエータ505を制御するために用いられ得る。
ロボット570およびセンサ572は、エッジ連結リングの侵食を計測するために用いられ得る。いくつかの例では、センサ572は深さゲージを備えてよい。ロボット570は、侵食を計測するためにエッジ連結リングと接している深さゲージを動かしてよい。あるいは、レーザ干渉計(ロボット570の有無に関わらず)が直接的な接触なしで侵食を計測するために用いられてよい。レーザ干渉計がエッジ連結リングに対する直視線上に配置されうる場合は、ロボット570は省略されてよい。
基板の台座506の上への搬入出には別のロボット573が用いられてよい。さらに、図15−23と共に以下でさらに説明するように、未使用のエッジ連結リングを昇降リング上に提供し、十分な磨耗後に使用済みのエッジ連結リングを交換するためにロボットアーム573が用いられてよい。基板およびエッジ連結リングの両方に同じロボットアーム573が用いられてよいが、専用のロボットアームが用いられてもよい。
次に図10を参照すると、エッジ連結リングを動かすためにアクチュエータを操作する方法600の例が示されている。610では、エッジ連結リングの少なくとも一部が基板に対して第1の位置に配置される。614では、基板処理システムが操作される。この操作には、基板のエッチングまたは他の処理が含まれてよい。618では、制御は、所定のエッチング期間または所定のエッチングサイクル回数が生じたか否かを決定する。618において所定の期間またはサイクルの回数を超えていないと決定された場合は、制御は614に戻る。
所定の期間またはサイクルの回数を超えると、制御は624において、最大所定エッチング期間を超えたか、エッチングサイクルの最大回数が生じたか、および/または最大回数のアクチュエータ動作が生じたかを決定する。
624が偽の場合は、アクチュエータを用いて制御はエッジ連結リングの少なくとも一部を動かす。エッジ連結リングの移動は、処理チャンバを開放せずに、自動で、手動で、またはこれらの組み合わせで実施できる。624が真の場合は、制御はメッセージを送信する、あるいはエッジ連結リングが補修/交換されるべきことを示す。
次に図11を参照すると、エッジ連結リングを動かすためにアクチュエータを操作する方法700の例が示されている。710では、エッジ連結リングの少なくとも一部が基板に対して第1の位置に配置される。714では、基板処理システムが操作される。この操作には、基板のエッチングまたは他の処理が含まれてよい。718では、制御は、エッジ連結リングの所定量の侵食が生じたかどうかを深さゲージまたはレーザ干渉計などのセンサを用いて決定する。718が偽の場合は、制御は714に戻る。
所定量の侵食が生じたときは、制御は724において、最大量の侵食が生じたか否かを決定する。724が偽の場合は、制御はアクチュエータを用いてエッジ連結リングの少なくとも一部を動かす。エッジ連結リングの移動は、処理チャンバを開放せずに、自動で、手動で、またはこれらの組み合わせで実施できる。724が真の場合は、制御はメッセージを送信する、あるいはエッジ連結リングが補修/交換されるべきことを示す。
上記に加えて、エッジ連結リングを動かす必要があるか否かの決定は、処理後の基板のエッチングパターンの検査に基づいてよい。アクチュエータは、チャンバを開放せずにエッジ連結リングのエッジ連結プロファイルを調整するために用いられてよい。
次に図12を参照すると、処理チャンバ800は、台座20の上に配置されているエッジ連結リング60を備えている。エッジ連結リング60は、処理チャンバ800の外に配置されている1つ以上のアクチュエータ804によって移動可能な1つ以上の部分を備える。この例では、第1の環状部72が移動可能である。アクチュエータ804は、機械的連動部810によってエッジ連動リング60の第1の環状部72に接続されてよい。例えば、機械的連動部810は、棒状部材を備えてよい。機械的連動部810は、処理チャンバ800の壁814にある穴811を貫通してよい。「O」リングなどのシール812が用いられてよい。機械的連動部810は、エッジ連結リング60の第3の環状部76などの1つ以上の構造にある穴815を貫通してよい。
次に図13Aおよび13Bを参照すると、エッジ連結リング830の左右の傾動が示されている。左右の傾動は、左右の不均衡を修正するために用いられてよい。13Aでは、基板とは反対側のエッジ連結リング830の部分830−1および830−2は、第1の配置840に配置されている。部分830−1および830−2は、一般に、エッジ連結リング830の部分832−1および832−2と位置合わせされてよい。アクチュエータ836−1および836−2は、部分830−1と832−1との間および830−2および832−2との間にそれぞれ配置されている。
図13Bでは、アクチュエータ836−1および836−2は、エッジ連結リング830が図13Aに示す第1の配置840とは異なる第2の配置850に動くように、エッジ連結リング830のそれぞれの部分を動かす。確認できるように、基板は処理の後に検査され、基板に対する傾斜は、処理チャンバを開放せずに必要に応じて調整されてよい。
次に図14を参照すると、基板の処理時にエッジ連結リングを動かす方法900が示されている。つまり、同じ処理チャンバ内の単一基板上で異なる処理が実施されてよい。エッジ連結リングのエッジ連結効果は、次の基板に進む前に、同じ処理チャンバ内の基板上で実施される複数の処理の間に調整されてよい。910では、基板は台座の上に配置され、エッジ連結リングの位置は必要に応じて調整される。914では、基板の処理が実施される。918で決定されるように基板の処理が終了したら、922において基板は台座から移される。924では、別の基板が処理される必要があるかを制御が決定する。924が真の場合は、この方法は910に戻る。そうでない場合は、この方法は終了する。
918が偽の場合で、基板が追加の処理を必要とする場合は、この方法は、930でエッジ連結リングの調整が必要かを決定する。930が偽の場合は、この方法は914に戻る。930が真の場合は、エッジ連結リングの少なくとも一部は934で1つ以上のアクチュエータを用いて動かされ、この方法は914に戻る。理解できるように、エッジ連結リングは、同じ処理チャンバ内の同じ基板の処理の間に調整されうる。
次に図15を参照すると、エッジ連結リング1014および昇降リング1018が台座1010の上面に隣接して、またその周囲に配置されている。エッジ連結リング1014は、上述のように、エッチング時に基板に隣接して配置される半径方向内端部を含む。昇降リング1018は、エッジ連結リング1014の少なくとも一部より下側に配置される。昇降リング1018は、ロボットアームを用いてエッジ連結リング1014を取り除くときに、エッジ連結リング1014を台座1010の表面より上側に持ち上げるのに用いられる。エッジ連結リング1014は、処理チャンバを大気圧に開放する必要なく取り除くことができる。いくつかの例では、以下に説明するように、昇降リング1018は、ロボットアームがエッジ連結リング1014を取り除くための隙間を提供するために、円周方向に間隔の開いた端部1020の間に開口部1019を必要に応じて含んでよい。
次に図16−17を参照すると、エッジ連結リング1014および昇降リング1018の例がさらに詳しく示されている。図16に示す例では、台座は、一般に1021で認識される静電チャック(ESC)を含んでよい。ESC1021は、ESC板1022、1024、1030、および1032などの1つ以上の積層板を備えてよい。ESC板1030は中間ESC板に相当し、ESC板1032はESC台板に相当してよい。いくつかの例では、ESC板1024と1030との間にOリング1026が配置されてよい。特定の台座1010が示されているが、他の種類の台座も用いられうる。
底部エッジ連結リング1034は、エッジ連結リング1014および昇降リング1018より下側に配置されてよい。底部エッジ連結リング1034は、ESC板1024、1030、1032、およびOリング1026に隣接して、またそれらの半径方向外側に配置されてよい。
いくつかの例では、エッジ連結リング1014は、1つ以上の自動センタリング機構1040、1044、および1046を備えてよい。ほんの一例として、自動センタリング機構1040および1044は三角形の雌自動センタリング機構であってよいが、他の形状も用いられうる。自動センタリング機構1046は、傾斜面であってよい。昇降リング1018は、1つ以上の自動センタリング機構1048、1050、および1051を備えてよい。ほんの一例として、自動センタリング機構1048および1050は、三角形の雄自動センタリング機構であってよいが、他の形状も用いられうる。自動センタリング機構1051は、自動センタリング機構1046に対して相補的な形状を有する傾斜面であってよい。昇降リング1018の自動センタリング機構1048は、エッジ連結リング1014の自動センタリング機構1044と結合してよい。昇降リング1018の自動センタリング機構1050は、底部エッジ連結リング1034の自動センタリング機構1052と結合してよい。
昇降リング1018は、さらに、半径方向外向きに延びる突出部1054を備える。突出部1054の底部対向面1057には溝1056が配置されてよい。溝1056は、アクチュエータ1064に接続されて選択的に垂直に動かされるピラー1060の一端によって付勢されるように構成されている。アクチュエータ1064は、コントローラによって制御されてよい。理解できるように、1つの溝、ピラー、およびアクチュエータが示されているが、昇降リング1018を上向き方向に付勢するために、追加の溝、ピラー、およびアクチュエータが昇降リング1018の周りに間隔を置いて円周方向に配置されてよい。
図17では、ピラー1060およびアクチュエータ1064を用いた昇降リング1018によって上向き方向に持ち上げられているエッジ連結リング1014が示されている。エッジ連結リング1014は、ロボットアームによって処理チャンバから取り除くことができる。特に、ロボットアーム1102は、ホルダ1104によってエッジ連結リング1014に接続されている。ホルダ1104は、エッジ連結リング1014の自動センタリング機構1040と結合する自動センタリング機構1110を備えてよい。理解できるように、ロボットアーム1102およびホルダ1104は、昇降リング1018の自動センタリング機構1048を解除するため、エッジ連結リングを上向きに付勢してよい。その後、ロボットアーム1102、ホルダ1104、およびエッジ連結リング1014は、処理チャンバから取り出されうる。ロボットアーム1102、ホルダ1104、および新しいエッジ連結リングは、戻されて昇降リング1018の上に配置されうる。次に、昇降リング1018が下げられる。昇降リング1018の上に新しいエッジ連結リング1014を供給するために逆の操作が用いられてよい。
あるいは、エッジ連結リング1014を昇降リング1018から取り外すためにロボットアーム1102およびホルダ1104を上向きに持ち上げるのではなく、ロボットアーム1102およびホルダ1104は、持ち上げられたエッジ連結リング1014の下方に接して配置できる。その後、昇降リング1018は下げられ、エッジ連結リング1014はロボットアーム1102およびホルダ1104の上に留まる。ロボットアーム1102、ホルダ1104、およびエッジ連結リング1014は、処理チャンバから取り除くことができる。昇降リング1018の上に新しいエッジ連結リング1014を供給するために逆の操作が用いられてよい。
次に図18−20を参照すると、可動式エッジ連結リング1238および昇降リング1018が示されている。図18では、1つ以上のピラー1210が、ESC台板1032の穴1220、1224、および1228、底部エッジ連結リング1034、および昇降リング1018をそれぞれ通って1つ以上のアクチュエータ1214によって上下に動かされる。この例では、中間エッジ連結リング1240またはスペーサが、可動式エッジ連結リング1238と昇降リング1018との間に配置される。中間エッジ連結リング1240は、自動センタリング機構1244および1246を備えてよい。対応する自動センタリング機構1248が、可動式エッジ連結リング1238の上に設けられてよい。自動センタリング機構1248は、中間エッジ連結リング1240の自動センタリング機構1246と結合する。
以上に詳述したように、可動式エッジ連結リング1238の上向き面の侵食は、使用時に発生し得る。これは、順次プラズマのプロファイルを変更し得る。可動式エッジ連結リング1238は、プラズマのプロファイルを変更するためにピラー1210およびアクチュエータ1214を用いて上向き方向に選択的に動かされてよい。図19では、図18の可動式エッジ連結リング1238が上昇位置に示されている。中間エッジ連結リング1240は静止したままである。最終的に、可動式エッジ連結リング1238は1回以上動かされ、その後、エッジ連結リング1238および中間エッジ連結リング1240は交換されてよい。
図20では、アクチュエータ1214は下降状態に戻り、アクチュエータ1064は上昇状態に動かされる。エッジ連結リング1238および中間エッジ連結リング1240は、昇降リング1018によって持ち上げられ、可動式エッジ連結リング1238は、ロボットアーム1102およびホルダ1104によって取り除かれてよい。
理解できるように、アクチュエータは、処理チャンバの中または処理チャンバの外に配置できる。いくつかの例では、エッジ連結リングは、カセット、ロードロック、搬送チャンバなどを介してチャンバに供給されてよい。あるいは、エッジ連結リングは、処理チャンバの外だが基板処理ツールの中に収容されてよい。
次に図21−22を参照すると、いくつかの例では昇降リングは省略できる。エッジ連結リング1310は、底部エッジ連結リング1034の上および台座の半径方向外端に配置される。エッジ連結リング1310は、1つ以上の自動センタリング機構1316および1320を備えてよい。エッジ連結リング1310は、さらに、アクチュエータ1214によって付勢され、ピラー1210の天面を受ける溝1324を備えてよい。自動センタリング機構1320は、対応する底部エッジ連結リング1034の自動センタリング機構1326に対して配置されてよい。いくつかの例では、自動センタリング機構1320および1326は傾斜面である。
図22では、アクチュエータ1214およびピラー1210は、エッジ連結リング1310を上向きに付勢して、エッジ連結リング1310を取り除く、または侵食が生じた後にプラズマプロファイルを調整する。ロボットアーム1102およびホルダ1104は、エッジ連結リング1310より下側の位置に動かすことができる。自動センタリング機構1316は、ロボットアーム1102に接続されているホルダ1104の自動センタリング機構1110によって係合されてよい。ロボットアーム1102が溝1324とピラー1210との間の隙間を提供するために上向き方向に動く、または、ピラー1210が溝1324に隙間を提供するためにアクチュエータ1214によって下向きに動かされる、のいずれかである。
次に図23を参照すると、処理チャンバを大気圧に開放せずにエッジ連結リングを交換する方法1400が示されている。この方法は1404において、エッジ連結リングが昇降リング上に配置されているか否かを決定する。1404が偽の場合は、この方法は1408において、ロボットアームを用いてエッジ連結リングを昇降リング上の所定位置に動かす。エッジ連結リングが処理チャンバの昇降リング上に配置された後は、1408において処理が行われる。この方法は1412において、上述の基準のいずれかを用いてエッジ連結リングが磨耗しているか否かを決定する。1412が偽の場合は、この方法は1408に戻り、処理が再び行われ得る。1412においてエッジ連結リングが磨耗していると決定された場合は、エッジ連結リングは1416において交換され、この方法は1408に移行する。
次に図24を参照すると、方法1500は、可動式エッジ連結リングの位置を必要に応じて侵食のオフセットに調整し、可動式エッジ連結リングが磨耗していると決定されたときに可動式エッジ連結リングを選択的に交換する。この方法は1502において、可動式エッジ連結リングが昇降リングの上に配置されているか否かを決定する。1502が偽の場合は、エッジ連結リングは1504において昇降リング上の所定位置に動かされ、この方法は1502移行する。
1502が真の場合は、この方法は1506において、可動式エッジ連結リングの位置を調整する必要があるか否かを決定する。1506が真の場合は、この方法は、アクチュエータを用いて可動式エッジ連結リングの位置を調整し、1506に戻る。1506が偽の場合は、この方法は1510において処理を行う。1512においてこの方法は、可動式エッジ連結リングが磨耗しているか否かを決定する。偽であれば、この方法は1510に戻る。
1512が真の場合は、この方法は1520において、可動式エッジ連結リングが最も高い(または、十分に調整された)位置にあるか否かを決定する。1520が偽の場合は、この方法は、1524においてアクチュエータ1214を用いて可動式エッジ連結リングの位置を調整し、1510に戻る。1520が真の場合は、この方法は、アクチュエータ1064、昇降リング1018、およびロボットアーム1102を用いて可動式エッジ連結リングを交換する。
次に図25を参照すると、処理チャンバを大気圧に開放せずにエッジ連結リングを交換する方法1600が示されている。1610において、昇降リングおよびエッジ連結リングは、アクチュエータを用いて上向きに付勢される。1620において、ロボットアームおよびホルダは、エッジ連結リングの下側に移動する。1624では、ロボットアームが上向きに動いてエッジ連結リングの自動センタリング機構を解除するか、昇降リングが下向きに動く。1628では、エッジ連結リングを持つロボットアームは、処理チャンバの外側に移動する。1632において、エッジ連結リングは、ロボットアームから取り外される。1636において、交換用のエッジ連結リングがロボットアームによって取り上げられる。1638では、エッジ連結リングが昇降リング上に配置され、1つ以上の自動センタリング機構を用いて位置合わせされる。1642において、ロボットアームは、自動センタリング機構のための十分な隙間を与えるために下げられ、チャンバから取り出される。1646では、昇降リングおよびエッジ連結リングが定位置に下げられる。
上述の説明は、本質的に例示にすぎず、本開示、その適用、または使用を制限する意図は全くない。本開示の広義の教示は、様々な形態で実施できる。そのため、本開示は特定の例を含むが、他の補正は図面、明細書、および以下の請求項を検討すれば明らかになるため、真の開示範囲はそれほど制限されるべきではない。本明細書で用いられているように、A、B、およびCの少なくとも1つという表現は、非排他的論理を用いて論理(AまたはBまたはC)を意味すると解釈すべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、およびCの少なくとも1つ」を意味すると解釈すべきではない。方法における1つ以上の工程は、本開示の原理を変更せずに異なる順番で(または同時に)実施されてよい。
いくつかの実施形態では、コントローラは、上述の例の一部でありうるシステムの一部である。かかるシステムは、処理ツール、チャンバ、処理用のプラットフォーム、および/または特定の処理部品(ウエハ台座、ガス流システムなど)を含む半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後にそれらの動作を制御する電子部品と統合されてよい。電子部品は「コントローラ」と呼ばれ、システムの様々な構成部品または補助部品を制御してよい。コントローラは、処理要件および/またはシステムの種類によって、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、周波数(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、液体供給設定、位置および操作の設定、ツールおよび他の搬送ツールならびに/または特定のシステムと接続もしくはインターフェースするロードロックに対するウエハの搬出入を含む、本明細書に開示される処理のいずれかを制御するようにプログラムされてよい。
概して、コントローラは、命令を受け取り、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有する電子装置として定義されてよい。集積回路は、プログラム命令を格納するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または1つ以上のマイクロプロセッサ、もしくはプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(またはプログラムファイル)の形式でコントローラに伝達される命令であって、特定の処理を半導体ウエハ上でもしくは半導体ウエハ用に、またはシステムに対して実行する動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、プロセスエンジニアによって定義されるレシピの一部であって、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはウエハダイの製作時における1つ以上の処理工程を実現してよい。
いくつかの実施形態では、コントローラは、システムと統合または結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよく、またはそのコンピュータに結合されてよい。例えば、コンピュータは、「クラウド」内にある、または、ウエハ処理のリモートアクセスを可能にする全てもしくは一部のファブホストコンピュータシステムであってよい。コンピュータは、システムへのリモートアクセスを可能にして、製作操作の進捗状況を監視し、過去の製作操作の経歴を調査し、複数の製作操作から傾向または実施の基準を調査し、現在の処理のパラメータを変更し、現在の処理に続く処理工程を設定し、または新しい処理を開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供でき、ネットワークにはローカルネットワークまたはインターネットを含んでよい。リモートコンピュータは、次にリモートコンピュータからシステムに連通されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでよい。いくつかの例では、コントローラは、1つ以上の操作時に実施される各処理工程のためのパラメータを特定するデータ形式の命令を受け取る。パラメータは、実施される処理の種類および、コントローラが接続または制御するように構成されているツールの種類に特有であってよいことを理解されたい。そのため、上述のように、コントローラは、例えば、互いにネットワーク接続されている1つ以上の離散コントローラを含み、本明細書で述べる処理や制御など共通の目的に向かって取り組むことにより配置されてよい。かかる目的で配置されたコントローラの例は、遠隔に(例えば、プラットフォームレベルまたは遠隔コンピュータの一部として)位置し、組み合わせてチャンバ上の処理を制御する1つ以上の集積回路と連通しているチャンバ上の1つ以上の集積回路である。
限定を受けることなく、例示のシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、クリーンチャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに半導体ウエハの製作および/もしくは製造において関連してよいもしくは用いられてよいその他の半導体処理システムを含んでよい。
上述のように、ツールによって実施される処理工程に応じて、コントローラは、1つ以上の他のツール回路もしくはモジュール、他のツール部品、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に配置されたツール、メインコンピュータ、別のコントローラ、または半導体製造工場においてウエハ容器をツール位置および/もしくはロードポートに搬入出する材料搬送において用いられるツールと連通してよい。
次に図7−8を参照すると、エッジ連結リング150および圧電アクチュエータ154の別の例が示されている。この例では、圧電アクチュエータ154は、エッジ連結リング150を動かす。圧電アクチュエータ154は、エッジ連結リング150の第1の環状部72および第3の環状部76に搭載されている。図8では、圧電アクチュエータ154は、エッジ連結リング150の第1の環状部72を動かして、第1の環状部72の端部156の位置を調整する。
基板の台座506の上への搬入出には別のロボットアーム573が用いられてよい。さらに、図15−23と共に以下でさらに説明するように、未使用のエッジ連結リングを昇降リング上に提供し、十分な磨耗後に使用済みのエッジ連結リングを交換するためにロボットアーム573が用いられてよい。基板およびエッジ連結リングの両方に同じロボットアーム573が用いられてよいが、専用のロボットアームが用いられてもよい。
次に図14を参照すると、基板の処理時にエッジ連結リングを動かす方法900が示されている。つまり、同じ処理チャンバ内の単一基板上で異なる処理が実施されてよい。エッジ連結リングのエッジ連結効果は、次の基板に進む前に、同じ処理チャンバ内の基板上で実施される複数の処理の間に調整されてよい。910では、基板は台座の上に配置され、エッジ連結リングの位置は必要に応じて調整される。914では、基板の処理が実施される。918で決定されるように基板の処理が終了したら、922において基板は台座から取り除かれる。924では、別の基板が処理される必要があるかを制御が決定する。924が真の場合は、この方法は910に戻る。そうでない場合は、この方法は終了する。
次に図23を参照すると、処理チャンバを大気圧に開放せずにエッジ連結リングを交換する方法1400が示されている。この方法は1404において、エッジ連結リングが昇降リング上に配置されているか否かを決定する。1404が偽の場合は、この方法は1408において、ロボットアームを用いてエッジ連結リングを昇降リング上の所定位置に動かす。エッジ連結リングが処理チャンバの昇降リング上に配置された後は、1410において処理が行われる。この方法は1412において、上述の基準のいずれかを用いてエッジ連結リングが磨耗しているか否かを決定する。1412が偽の場合は、この方法は1410に戻り、処理が再び行われ得る。1412においてエッジ連結リングが磨耗していると決定された場合は、エッジ連結リングは1416において交換され、この方法は1410に移行する。

Claims (33)

  1. 基板処理システムであって、
    処理チャンバと、
    前記処理チャンバ内に配置されている台座と、
    前記台座の半径方向外縁部に隣接して配置されているエッジ連結リングと、
    ロボットアームが前記エッジ連結リングを前記処理チャンバから取り除くことができるように、前記エッジ連結リングと前記台座との間に隙間を設けるために、前記エッジ連結リングを前記台座に対して上昇位置に選択的に動かすように構成されている第1のアクチュエータと、
    を備える、基板処理システム。
  2. 請求項1に記載の基板処理システムにおいて、さらに、
    前記エッジ連結リングの少なくとも一部より下側に配置されている昇降リングを備え、
    前記第1のアクチュエータは前記昇降リングを付勢し、前記昇降リングは前記エッジ連結リングを付勢する、基板処理システム。
  3. 請求項2に記載の基板処理システムにおいて、さらに、
    前記第1のアクチュエータと前記昇降リングとの間に配置されているピラーを備える、基板処理システム。
  4. 請求項2に記載の基板処理システムにおいて、さらに、
    前記エッジ連結リングおよび前記昇降リングが上昇位置にあるときに、前記エッジ連結リングを前記処理チャンバから取り除くように構成されているロボットアームを備える、基板処理システム。
  5. 請求項4に記載の基板処理システムにおいて、さらに、
    前記ロボットアームに接続されているホルダを備え、
    前記ホルダは、前記エッジ連結リングの自動センタリング機構と結合する自動センタリング機構を備える、基板処理システム。
  6. 請求項2に記載の基板処理システムにおいて、
    前記エッジ連結リングは、前記昇降リングの自動センタリング機構と結合する自動センタリング機構を備える、基板処理システム。
  7. 請求項2に記載の基板処理システムにおいて、さらに、
    前記エッジ連結リングの少なくとも一部および前記昇降リングより下側に配置されている底部エッジ連結リングを備える、基板処理システム。
  8. 請求項7に記載の基板処理システムにおいて、
    前記底部エッジ連結リングは、前記昇降リングの自動センタリング機構と結合する自動センタリング機構を備える、基板処理システム。
  9. 請求項3に記載の基板処理システムにおいて、
    前記昇降リングは、半径方向外向きに延びる突出部を備え、
    前記突出部は、その底部対向面に形成された溝を含み、
    前記溝は、前記エッジ連結リングが上昇しているときに前記ピラーによって付勢される、基板処理システム。
  10. 請求項1に記載の基板処理システムにおいて、
    前記ロボットアームは、前記処理チャンバを大気圧に開放する必要なしに、前記エッジ連結リングを前記処理チャンバから取り除く、基板処理システム。
  11. 請求項2に記載の基板処理システムにおいて、さらに、
    前記エッジ連結リングを前記昇降リングに対して動かし、前記エッジ連結リングのエッジ連結プロファイルを変更するように構成されている第2のアクチュエータを備える、基板処理システム。
  12. 請求項11に記載の基板処理システムにおいて、さらに、
    前記エッジ連結リングの少なくとも一部と前記昇降リングとの間に配置されている中間エッジ連結リングを備え、
    前記第2のアクチュエータが前記エッジ連結リングを前記昇降リングに対して動かすときは、前記中間エッジ連結リングは静止したままである、基板処理システム。
  13. 請求項11に記載の基板処理システムにおいて、さらに、
    前記エッジ連結リングのプラズマ対向面の侵食に応じて、前記エッジ連結リングを前記第2のアクチュエータを用いて動かすように構成されているコントローラを備える、基板処理システム。
  14. 請求項13に記載の基板処理システムにおいて、
    前記コントローラは、前記エッジ連結リングが所定の回数のエッチングサイクルに曝された後に、前記エッジ連結リングを前記第2のアクチュエータを用いて自動的に動かすように構成されている、基板処理システム。
  15. 請求項13に記載の基板処理システムにおいて、
    前記コントローラは、前記エッジ連結リングが所定の期間のエッチングに曝された後に、前記エッジ連結リングを前記第2のアクチュエータを用いて自動的に動かすように構成されている、基板処理システム。
  16. 請求項13に記載の基板処理システムにおいて、さらに、
    前記コントローラと連通し、前記エッジ連結リングの前記侵食を検出するように構成されているセンサを備える、基板処理システム。
  17. 請求項16に記載の基板処理システムにおいて、さらに、
    前記コントローラと通信し、前記センサの位置を調整するように構成されているロボットアームを備える、基板処理システム。
  18. 請求項11に記載の基板処理システムにおいて、さらに、
    前記エッジ連結リングを、第1のエッジ連結効果を用いる前記基板の第1の処理のために前記第2のアクチュエータを用いて第1の位置に動かし、次に、前記第1のエッジ連結効果とは異なる第2のエッジ連結効果を用いる前記基板の第2の処理のために、前記第2のアクチュエータを用いて第2の位置に動かするように構成されているコントローラを備える、基板処理システム。
  19. 基板処理システムにおいてエッジ連結リングを維持するための方法であって、
    エッジ連結リングを処理チャンバ内の台座の半径方向外縁部に隣接して配置し、
    第1のアクチュエータを用いて前記エッジ連結リングを前記台座に対して上昇位置に選択的に動かし、
    前記エッジ連結リングが上昇位置にあるときに、ロボットアームを用いて前記連結リングを交換すること、
    を備える、方法。
  20. 請求項19に記載の方法において、さらに、
    前記エッジ連結リングの少なくとも一部より下側に昇降リングを配置することを備え、
    前記アクチュエータは前記昇降リングを付勢し、前記昇降リングは前記エッジ連結リングを付勢する、方法。
  21. 請求項20に記載の方法において、さらに、
    前記第1のアクチュエータと前記昇降リングとの間にピラーを配置することを備える、方法。
  22. 請求項20に記載の方法において、さらに、
    前記ロボットアームにホルダを取り付けることを備え、
    前記ホルダは、前記エッジ連結リングの自動センタリング機構と結合する自動センタリング機構を備える、方法。
  23. 請求項20に記載の方法において、さらに、
    前記昇降リングの自動センタリング機構と結合させるために前記エッジ連結リングの自動センタリング機構を用いることを備える、方法。
  24. 請求項20に記載の方法において、さらに、
    前記エッジ連結リングの少なくとも一部および前記昇降リングより下側に底部エッジ連結リングを配置することを備える、方法。
  25. 請求項24に記載の方法において、さらに、
    前記昇降リングの自動センタリング機構と結合させるために前記底部エッジ連結リングの自動センタリング機構を用いることを備える、方法。
  26. 請求項21に記載の方法において、
    前記昇降リングは、半径方向外向きに延びる突出部を備え、
    前記突出部は、その底部対向面に形成された溝を含み、
    前記溝は、前記エッジ連結リングが上昇しているときに前記ピラーによって付勢される、方法。
  27. 請求項20に記載の方法において、さらに、
    第2のアクチュエータを用いて前記エッジ連結リングを前記昇降リングに対して動かし、前記エッジ連結リングのエッジ連結プロファイルを変更することを備える、方法。
  28. 請求項27に記載の方法において、さらに、
    前記エッジ連結リングの少なくとも一部と前記昇降リングとの間に中間エッジ連結リングを配置することを備え、
    前記第2のアクチュエータが前記エッジ連結リングを前記昇降リングに対して動かすときは、前記中間エッジ連結リングは静止したままである、方法。
  29. 請求項27に記載の方法において、さらに、
    前記エッジ連結リングのプラズマ対向面の侵食に応じて、前記第2のアクチュエータを用いて前記エッジ連結リングを動かすることを備える、方法。
  30. 請求項29に記載の方法において、さらに、
    前記エッジ連結リングが所定の回数のエッチングサイクルに曝された後に、前記エッジ連結リングを自動的に動かすことを備える、方法。
  31. 請求項29に記載の方法において、さらに、
    前記エッジ連結リングが所定の期間のエッチングに曝された後に、前記エッジ連結リングを自動的に動かすことを備える、方法。
  32. 請求項20に記載の方法において、さらに、
    センサを用いて前記エッジ連結リングの侵食を検出することを備える、方法。
  33. 請求項27に記載の方法において、さらに、
    前記エッジ連結リングを、第1のエッジ連結効果を用いる前記基板の第1の処理のために前記第2のアクチュエータを用いて第1の位置に動かし、次に、前記第1のエッジ連結効果とは異なる第2のエッジ連結効果を用いる前記基板の第2の処理のために、前記第2のアクチュエータを用いて第2の位置に動かすことを備える、方法。
JP2021005504A 2015-01-16 2021-01-18 半導体ウエハ処理中におけるエッジ処理制御のための可動式エッジ連結リング Pending JP2021073705A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/598,943 US11605546B2 (en) 2015-01-16 2015-01-16 Moveable edge coupling ring for edge process control during semiconductor wafer processing
US14/598,943 2015-01-16
US14/705,430 2015-05-06
US14/705,430 US10658222B2 (en) 2015-01-16 2015-05-06 Moveable edge coupling ring for edge process control during semiconductor wafer processing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018186353A Division JP6863941B2 (ja) 2015-01-16 2018-10-01 半導体ウエハ処理中におけるエッジ処理制御のための可動式エッジ連結リング

Publications (1)

Publication Number Publication Date
JP2021073705A true JP2021073705A (ja) 2021-05-13

Family

ID=56408377

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2016004302A Active JP6783521B2 (ja) 2015-01-16 2016-01-13 半導体ウエハ処理中におけるエッジ処理制御のための可動式エッジ連結リング
JP2018186353A Active JP6863941B2 (ja) 2015-01-16 2018-10-01 半導体ウエハ処理中におけるエッジ処理制御のための可動式エッジ連結リング
JP2021005504A Pending JP2021073705A (ja) 2015-01-16 2021-01-18 半導体ウエハ処理中におけるエッジ処理制御のための可動式エッジ連結リング

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2016004302A Active JP6783521B2 (ja) 2015-01-16 2016-01-13 半導体ウエハ処理中におけるエッジ処理制御のための可動式エッジ連結リング
JP2018186353A Active JP6863941B2 (ja) 2015-01-16 2018-10-01 半導体ウエハ処理中におけるエッジ処理制御のための可動式エッジ連結リング

Country Status (6)

Country Link
US (3) US10658222B2 (ja)
JP (3) JP6783521B2 (ja)
KR (4) KR102537053B1 (ja)
CN (2) CN105810609B (ja)
SG (3) SG10201808035YA (ja)
TW (3) TWI704645B (ja)

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
EP2923376A4 (en) * 2012-11-21 2016-06-22 Ev Group Inc ADJUSTMENT DEVICE FOR ADJUSTING AND ASSEMBLING A WATER
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102179088B1 (ko) * 2013-12-12 2020-11-18 메스 메디컬 일렉트로닉 시스템즈 리미티드 홈 테스팅 장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160289827A1 (en) * 2015-03-31 2016-10-06 Lam Research Corporation Plasma processing systems and structures having sloped confinement rings
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
CN116110846A (zh) * 2016-01-26 2023-05-12 应用材料公司 晶片边缘环升降解决方案
WO2017131927A1 (en) * 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10910195B2 (en) * 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN108345178B (zh) * 2017-01-25 2020-11-13 上海微电子装备(集团)股份有限公司 一种硅片边缘保护装置
US10553404B2 (en) * 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
CN117174641A (zh) 2017-04-07 2023-12-05 应用材料公司 在基板边缘上的等离子体密度控制
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504738B2 (en) * 2017-05-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Focus ring for plasma etcher
WO2018222430A2 (en) * 2017-05-31 2018-12-06 Lam Research Corporation Detection system for tunable/replaceable edge coupling ring
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR102258054B1 (ko) * 2017-07-24 2021-05-28 램 리써치 코포레이션 이동가능한 에지 링 설계들
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP6966286B2 (ja) 2017-10-11 2021-11-10 東京エレクトロン株式会社 プラズマ処理装置、フォーカスリングの昇降制御方法およびフォーカスリングの昇降制御プログラム
KR102617972B1 (ko) * 2017-11-21 2023-12-22 램 리써치 코포레이션 하단 링 및 중간 에지 링
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
KR102505152B1 (ko) * 2017-12-15 2023-02-28 램 리써치 코포레이션 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
US11043400B2 (en) * 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP6995008B2 (ja) * 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
JP7122864B2 (ja) * 2018-05-14 2022-08-22 東京エレクトロン株式会社 クリーニング方法及び基板処理装置
KR102242812B1 (ko) * 2018-05-17 2021-04-22 세메스 주식회사 반송 유닛 및 이를 갖는 기판 처리 장치
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR102433436B1 (ko) 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
CN111312633A (zh) * 2018-07-27 2020-06-19 上海华力集成电路制造有限公司 硅刻蚀机及其操作方法
US11488848B2 (en) * 2018-07-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor die vessel processing workstations
KR20230106754A (ko) * 2018-08-13 2023-07-13 램 리써치 코포레이션 에지 링 포지셔닝 및 센터링 피처들을 포함하는 플라즈마 시스 튜닝을 위한 교체가능한 에지 링 어셈블리 및/또는 접을 수 있는 에지 링 어셈블리
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847393B2 (en) 2018-09-04 2020-11-24 Applied Materials, Inc. Method and apparatus for measuring process kit centering
US11342210B2 (en) 2018-09-04 2022-05-24 Applied Materials, Inc. Method and apparatus for measuring wafer movement and placement using vibration data
US11404296B2 (en) * 2018-09-04 2022-08-02 Applied Materials, Inc. Method and apparatus for measuring placement of a substrate on a heater pedestal
US11521872B2 (en) * 2018-09-04 2022-12-06 Applied Materials, Inc. Method and apparatus for measuring erosion and calibrating position for a moving process kit
US10794681B2 (en) 2018-09-04 2020-10-06 Applied Materials, Inc. Long range capacitive gap measurement in a wafer form sensor system
JP7115942B2 (ja) * 2018-09-06 2022-08-09 東京エレクトロン株式会社 載置台、基板処理装置、エッジリング及びエッジリングの搬送方法
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP7076351B2 (ja) 2018-10-03 2022-05-27 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の厚さ測定方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
JP7129307B2 (ja) 2018-10-10 2022-09-01 東京エレクトロン株式会社 基板支持アセンブリ、プラズマ処理装置、及びプラズマ処理方法
KR102256214B1 (ko) * 2018-10-18 2021-05-25 세메스 주식회사 기판 처리 장치
KR102134391B1 (ko) * 2018-10-18 2020-07-15 세메스 주식회사 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
JP2020087969A (ja) 2018-11-15 2020-06-04 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の形状測定方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11512393B2 (en) * 2018-11-29 2022-11-29 Lam Research Corporation Dynamic sheath control with edge ring lift
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) * 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7134104B2 (ja) 2019-01-09 2022-09-09 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
JP2020115499A (ja) 2019-01-17 2020-07-30 東京エレクトロン株式会社 プラズマ処理装置、及びリング部材の位置ずれ測定方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11393663B2 (en) * 2019-02-25 2022-07-19 Tokyo Electron Limited Methods and systems for focus ring thickness determinations and feedback control
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200112447A (ko) 2019-03-22 2020-10-05 삼성전자주식회사 에지 링을 갖는 기판 처리 장치
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US11018046B2 (en) 2019-04-12 2021-05-25 Samsung Electronics Co., Ltd. Substrate processing apparatus including edge ring
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
WO2020214327A1 (en) * 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US20200335368A1 (en) * 2019-04-22 2020-10-22 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
TWM589358U (zh) * 2019-05-10 2020-01-11 美商蘭姆研究公司 半導體製程模組的頂環
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
US11913777B2 (en) 2019-06-11 2024-02-27 Applied Materials, Inc. Detector for process kit ring wear
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
KR20210002175A (ko) 2019-06-26 2021-01-07 삼성전자주식회사 센서 모듈 및 이를 구비하는 식각 장치
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
TWM602283U (zh) * 2019-08-05 2020-10-01 美商蘭姆研究公司 基板處理系統用之具有升降銷溝槽的邊緣環
KR20220038172A (ko) * 2019-08-05 2022-03-25 램 리써치 코포레이션 기판 프로세싱 시스템들을 위한 에지 링 시스템들
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US20220328290A1 (en) * 2019-08-14 2022-10-13 Lam Research Coporation Moveable edge rings for substrate processing systems
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP2021040011A (ja) * 2019-09-02 2021-03-11 キオクシア株式会社 プラズマ処理装置
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11443923B2 (en) * 2019-09-25 2022-09-13 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus for fabricating a semiconductor structure and method of fabricating a semiconductor structure
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210042749A (ko) * 2019-10-10 2021-04-20 삼성전자주식회사 정전 척 및 상기 정전 척을 포함하는 기판 처리 장치
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
JP7263225B2 (ja) * 2019-12-12 2023-04-24 東京エレクトロン株式会社 搬送するシステム及び方法
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
WO2021173498A1 (en) * 2020-02-24 2021-09-02 Lam Research Corporation Semiconductor processing chamber with dual-lift mechanism for edge ring elevation management
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP2021150424A (ja) * 2020-03-18 2021-09-27 キオクシア株式会社 エッジリング及びプラズマ処理装置
US11551916B2 (en) 2020-03-20 2023-01-10 Applied Materials, Inc. Sheath and temperature control of a process kit in a substrate processing chamber
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11749543B2 (en) * 2020-07-06 2023-09-05 Applied Materials, Inc. Chamber matching and calibration
JP7455012B2 (ja) 2020-07-07 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11380575B2 (en) 2020-07-27 2022-07-05 Applied Materials, Inc. Film thickness uniformity improvement using edge ring and bias electrode geometry
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11623321B2 (en) * 2020-10-14 2023-04-11 Applied Materials, Inc. Polishing head retaining ring tilting moment control
TW202221833A (zh) 2020-10-19 2022-06-01 日商東京威力科創股份有限公司 載置台及基板處理裝置
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20230027251A (ko) * 2020-11-19 2023-02-27 어플라이드 머티어리얼스, 인코포레이티드 기판 극단 에지 보호를 위한 링
CN114530361A (zh) * 2020-11-23 2022-05-24 中微半导体设备(上海)股份有限公司 下电极组件、等离子体处理装置和更换聚焦环的方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022163582A1 (ja) * 2021-01-29 2022-08-04 東京エレクトロン株式会社 プラズマ処理装置
JP7293517B2 (ja) * 2021-02-09 2023-06-19 東京エレクトロン株式会社 基板処理システム及び搬送方法
CN113097038B (zh) * 2021-02-25 2022-07-15 长江存储科技有限责任公司 刻蚀装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11721569B2 (en) 2021-06-18 2023-08-08 Applied Materials, Inc. Method and apparatus for determining a position of a ring within a process kit
KR102593139B1 (ko) * 2021-07-28 2023-10-25 세메스 주식회사 지지 유닛, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102427214B1 (ko) * 2021-11-12 2022-08-01 비씨엔씨 주식회사 결합 및 분해가 가능한 반도체용 포커스 링 조립체
WO2023224855A1 (en) * 2022-05-17 2023-11-23 Lam Research Corporation Self-centering edge ring
WO2024030307A1 (en) * 2022-08-03 2024-02-08 Lam Research Corporation System and method to maintain constant clamping pressure during chamber rebooting and power failure instances

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07221024A (ja) * 1992-01-22 1995-08-18 Applied Materials Inc 真空蒸着装置
JP2000232149A (ja) * 1999-02-09 2000-08-22 Toshiba Corp 半導体ウエハ収納容器の載置位置決め機構
JP2001274104A (ja) * 1999-12-10 2001-10-05 Applied Materials Inc 自己整列の非接触シャドーリング処理キット
JP2003282680A (ja) * 2002-03-20 2003-10-03 Seiko Epson Corp 基板リフター、クランプリング及び基板処理装置
JP2004047911A (ja) * 2002-07-16 2004-02-12 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2008244274A (ja) * 2007-03-28 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
JP2009094436A (ja) * 2007-10-12 2009-04-30 Panasonic Corp プラズマ処理装置
JP2010267894A (ja) * 2009-05-18 2010-11-25 Panasonic Corp プラズマ処理装置及びプラズマ処理装置におけるトレイの載置方法
JP2011054933A (ja) * 2009-08-07 2011-03-17 Tokyo Electron Ltd 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
US20130264035A1 (en) * 2012-04-06 2013-10-10 Ashish Goel Edge ring for a deposition chamber

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP2713276B2 (ja) * 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
JP2001525997A (ja) * 1997-05-20 2001-12-11 東京エレクトロン株式会社 処理装置
US6186092B1 (en) * 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
JP4416892B2 (ja) * 2000-01-04 2010-02-17 株式会社アルバック マスク及び真空処理装置
JP2001230239A (ja) * 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP3388228B2 (ja) * 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6868302B2 (en) * 2002-03-25 2005-03-15 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus
US6896765B2 (en) * 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US7138067B2 (en) * 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
WO2006041169A1 (ja) * 2004-10-15 2006-04-20 Hitachi Kokusai Electric Inc. 基板処理装置及び半導体装置の製造方法
JP2006173223A (ja) * 2004-12-14 2006-06-29 Toshiba Corp プラズマエッチング装置およびそれを用いたプラズマエッチング方法
JP4707421B2 (ja) * 2005-03-14 2011-06-22 東京エレクトロン株式会社 処理装置,処理装置の消耗部品管理方法,処理システム,処理システムの消耗部品管理方法
US20070224709A1 (en) * 2006-03-23 2007-09-27 Tokyo Electron Limited Plasma processing method and apparatus, control program and storage medium
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP2008300387A (ja) * 2007-05-29 2008-12-11 Speedfam Co Ltd 局所ドライエッチング装置のエッチングガス流制御装置及び方法
US7824146B2 (en) * 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US7754518B2 (en) * 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
US8287650B2 (en) * 2008-09-10 2012-10-16 Applied Materials, Inc. Low sloped edge ring for plasma processing chamber
US20100122655A1 (en) * 2008-11-14 2010-05-20 Tiner Robin L Ball supported shadow frame
US8409995B2 (en) * 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
US8270141B2 (en) * 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5719599B2 (ja) * 2011-01-07 2015-05-20 東京エレクトロン株式会社 基板処理装置
US20120237682A1 (en) * 2011-03-18 2012-09-20 Applied Materials, Inc. In-situ mask alignment for deposition tools
JP6003011B2 (ja) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
JP2012222235A (ja) * 2011-04-12 2012-11-12 Hitachi High-Technologies Corp プラズマ処理装置
JP6046128B2 (ja) 2011-05-31 2016-12-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマ(icp)リアクタ用動的イオンラジカルシーブ及びイオンラジカルアパーチャ
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
KR101974420B1 (ko) * 2012-06-08 2019-05-02 세메스 주식회사 기판처리장치 및 방법
US9997381B2 (en) * 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
WO2014163742A1 (en) * 2013-03-12 2014-10-09 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
US9425077B2 (en) * 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07221024A (ja) * 1992-01-22 1995-08-18 Applied Materials Inc 真空蒸着装置
JP2000232149A (ja) * 1999-02-09 2000-08-22 Toshiba Corp 半導体ウエハ収納容器の載置位置決め機構
JP2001274104A (ja) * 1999-12-10 2001-10-05 Applied Materials Inc 自己整列の非接触シャドーリング処理キット
JP2003282680A (ja) * 2002-03-20 2003-10-03 Seiko Epson Corp 基板リフター、クランプリング及び基板処理装置
JP2004047911A (ja) * 2002-07-16 2004-02-12 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2008244274A (ja) * 2007-03-28 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
JP2009094436A (ja) * 2007-10-12 2009-04-30 Panasonic Corp プラズマ処理装置
JP2010267894A (ja) * 2009-05-18 2010-11-25 Panasonic Corp プラズマ処理装置及びプラズマ処理装置におけるトレイの載置方法
JP2011054933A (ja) * 2009-08-07 2011-03-17 Tokyo Electron Ltd 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
US20130264035A1 (en) * 2012-04-06 2013-10-10 Ashish Goel Edge ring for a deposition chamber

Also Published As

Publication number Publication date
SG10201600319VA (en) 2016-08-30
KR20210080300A (ko) 2021-06-30
CN110010432A (zh) 2019-07-12
US20210183687A1 (en) 2021-06-17
KR20180110653A (ko) 2018-10-10
TW202125697A (zh) 2021-07-01
TWI717638B (zh) 2021-02-01
JP2016146472A (ja) 2016-08-12
KR102537053B1 (ko) 2023-05-25
JP6863941B2 (ja) 2021-04-21
CN105810609B (zh) 2021-06-25
US10658222B2 (en) 2020-05-19
TWI766510B (zh) 2022-06-01
CN105810609A (zh) 2016-07-27
US20160211166A1 (en) 2016-07-21
TW201901850A (zh) 2019-01-01
US20190013232A1 (en) 2019-01-10
KR20160088820A (ko) 2016-07-26
JP6783521B2 (ja) 2020-11-11
TW201639074A (zh) 2016-11-01
TWI704645B (zh) 2020-09-11
KR20230144988A (ko) 2023-10-17
JP2019024109A (ja) 2019-02-14
SG10201808035YA (en) 2018-10-30
SG10201906516XA (en) 2019-08-27

Similar Documents

Publication Publication Date Title
JP6863941B2 (ja) 半導体ウエハ処理中におけるエッジ処理制御のための可動式エッジ連結リング
US11605546B2 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
JP6976686B2 (ja) エッジリング特性評価を実行するためのシステムおよび方法
US10541168B2 (en) Edge ring centering method using ring dynamic alignment data
JP7220251B2 (ja) ミドルリング
JP6916303B2 (ja) 可動エッジリング設計
CN212874424U (zh) 用于等离子体处理系统的边缘环和包括该边缘环的系统
JP2021532271A (ja) 半導体基板処理におけるペデスタルへの蒸着の防止
EP4010915A1 (en) Edge ring systems for substrate processing systems
US20190341275A1 (en) Edge ring focused deposition during a cleaning process of a processing chamber
JP7466686B2 (ja) 基板処理システムにおける中間リング腐食補償
US20230369025A1 (en) High precision edge ring centering for substrate processing systems

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210118

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220405

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20221101