KR102505152B1 - 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들 - Google Patents

플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들 Download PDF

Info

Publication number
KR102505152B1
KR102505152B1 KR1020227011030A KR20227011030A KR102505152B1 KR 102505152 B1 KR102505152 B1 KR 102505152B1 KR 1020227011030 A KR1020227011030 A KR 1020227011030A KR 20227011030 A KR20227011030 A KR 20227011030A KR 102505152 B1 KR102505152 B1 KR 102505152B1
Authority
KR
South Korea
Prior art keywords
ring
edge
edge ring
support ring
fastener holes
Prior art date
Application number
KR1020227011030A
Other languages
English (en)
Other versions
KR20220045086A (ko
Inventor
마이클 씨. 켈로그
아담 메이스
알렉세이 마라크타노브
존 홀란드
지강 첸
펠릭스 코자케비치
알렌산더 매튜스킨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020237006795A priority Critical patent/KR102652428B1/ko
Publication of KR20220045086A publication Critical patent/KR20220045086A/ko
Application granted granted Critical
Publication of KR102505152B1 publication Critical patent/KR102505152B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Plasma Technology (AREA)

Abstract

에지 링을 지지 링에 고정하기 위한 시스템들 및 방법들이 기술된다. 에지 링은 에지 링의 하단 표면에 삽입되는 복수의 패스너들을 통해 지지 링에 고정된다. 에지 링을 지지 링에 고정하는 것은 플라즈마 챔버 내에서 기판의 프로세싱 동안 에지 링의 안정성을 제공한다. 이에 더하여, 지지 링이, 플라즈마 챔버의 절연체 벽에 연결되는 절연체 링에 고정되기 때문에, 에지 링을 지지 링에 고정하는 것은, 에지 링을 플라즈마 챔버에 고정한다. 게다가, 지지 링 및 에지 링은 기판의 프로세싱 동안 하나 이상의 걸쇠 메커니즘들을 사용하여 수직으로 풀 다운되고 플라즈마 챔버로부터 에지 링 및 지지 링을 제거하기 위해 걸쇠 메커니즘들을 사용하여 수직으로 푸시 업된다.

Description

플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들{RING STRUCTURES AND SYSTEMS FOR USE IN A PLASMA CHAMBER}
본 실시예들은 링들, 시스템들, 방법들, 및 플라즈마 챔버에서 링들을 고정하기 위한 구조체들에 관한 것이다.
플라즈마 챔버가 웨이퍼에 대해 다양한 프로세스들을 수행하도록 사용된다. 예를 들어, 플라즈마 챔버는 웨이퍼를 세정하고, 웨이퍼 상에 재료들을 증착하고, 또는 웨이퍼를 에칭하기 위해 사용된다. 플라즈마 챔버는 웨이퍼의 상이한 부분들을 프로세싱하기 위해 사용되는, 다양한 링들과 같은 다양한 컴포넌트들을 포함한다.
이러한 맥락에서 본 개시에 기술된 실시예들이 발생한다.
기술된 실시예들에서, 플라즈마 챔버는 에지 링 및 지지 링을 구비한다. 일 실시예에서, 에지 링은 에지 링 아래에 배치되는 지지 링에 커플링된다. 에지 링은, 예를 들어, 에지 링의 하측에 부착되는 복수의 스크루들에 의해 지지 링에 커플링된다. 지지 링을 고정하기 위해, 프로세싱 동안 에지 링을 고정된 채 유지하기 위해 풀 다운되는 (pull-down), 복수의 풀 다운 구조체들이 지지 링의 하측에 커플링된다. 프로세싱 동안 에지 링이 적절히 고정되지 않으면, 에지 링을 고정하기 위해 사용된 접착제들은 충분하지 않다. 접착제들만으로 충분하지 않은 일 이유는 지지 링과 에지 링 사이에 형성된 접착 겔에 의해 제공된 접착력이 플라즈마 챔버 내 고온 사이클들로 인해 감소된다는 것이다. 부가적으로, 겔에 인가되는 일정한 힘이 있고, 따라서 겔은 시간이 흐름에 따라 와해될 (disintegrate) 수 있다. 따라서, 겔에 의해 제공된 접착력이 감소되고 그리고/또는 겔이 와해될 때에도, 기판의 프로세싱 동안 에지 링 및 지지 링이 제자리에 남아 있는 것이 바람직하다. 예로서, 에지 링은 기판의 프로세싱 동안 지지 링에 대해 고정되는 것이 바람직하다. 그렇지 않으면, 기판의 프로세싱 동안 에지 링의 임의의 배치는 기판 상에서 수행될 원치 않은 프로세스를 발생시킬 수도 있고 또는 프로세싱이 목표되지 않은 기판의 특정한 부분들이 프로세싱된다. 일 실시예에서, 에지 링의 하단 표면은 기판의 프로세싱 동안 지지 링에 에지 링을 연결하도록 복수의 패스너들을 수용하기 위한, 슬롯들, 예를 들어, 스크루 홀들을 갖는다. 이는 에지 링이 지지 링에 대해 이동하는 것을 방지하는 것을 돕는다.
일 실시예에서, 에지 링은 플라즈마가 플라즈마 챔버 내에서 형성될 때 아크 발생 (arcing) 기회들을 감소시키도록 하나 이상의 커브된 에지들을 갖는 것이 목표된다.
또 다른 실시예에서, 커버 링이 에지 링을 둘러싸도록 제공되는 것이 목표된다. 커버 링은 플라즈마가 플라즈마 챔버 내에서 형성될 때 아크 발생 기회들을 감소시키도록 커브되는 (curved) 하나 이상의 에지들을 갖도록 더 구성된다. 또한, 커버 링의 폭은 폭을 따른 트래킹 거리가 커버 링의 수직으로 배향된 내측 표면에서 스탠드-오프 (stand-off) 전압의 달성을 용이하게 하도록 선택된다. 예를 들어, 커버 링 내에서 소산되는 RF (radio frequency) 전압이 커버 링의 0.001" 당 약 7 V 내지 10 V를 포함하는 범위들 내이면, 커버 링의 수직으로 배향된 내측 표면에서 미리 결정된 5000 V의 스탠드-오프 전압을 달성하기 위해, 커버 링의 폭은 트래킹 거리에 대응한다. 트래킹 거리는 커버 링의 단위 길이, 예컨대 0.001" 당의, 복수, 예컨대 2 또는 3 스탠드-오프 전압과 전압 소산의 비이다. 일부 실시예들에서, 커버 링의 폭은 비로 제공되는 트래킹 거리이다. 또 다른 실시예에서, 에지 링과 접지 사이 표면 길이가 예를 들어, 다수의 단차진 (stepped) 표면들을 따라, 트래킹 거리를 규정한다.
일 실시예에서, 지지 링 및 에지 링이, 지지 링 아래에 놓인 (situate) 절연체 링에 대해 고정되는 것이 바람직하다. 그렇지 않으면, 절연체 링에 대해 지지 링의 임의의 모멘트가 지지 링의 상단부 상에서 에지 링을 이동시킨다. 에지 링의 이동은 기판의 프로세싱 동안 바람직하지 않다. 기판의 프로세싱 동안 지지 링의 임의의 배치는 기판 상에서 수행될 원치 않은 프로세스를 발생시킬 수도 있고 또는 프로세싱되는 것이 목표되지 않은 기판의 특정한 부분들이 프로세싱된다. 또한, 지지 링 또는 에지 링, 또는 에지 링 및 지지 링 모두의 유지보수 또는 교체를 위해, 지지 링 및 에지 링이 용이하게 제거되는 것이 바람직하다.
일부 실시예들에서, 플라즈마 프로세싱 챔버에서 사용하기 위한 에지 링이 기술된다. 에지 링은 플라즈마 프로세싱 챔버의 기판 지지부를 둘러싸는 환형 바디를 갖는다. 환형 바디는 하단 측면, 상단 측면, 내측 측면, 및 외측 측면을 갖는다. 에지 링은 하단 측면을 따라 환형 바디 내로 배치된 복수의 패스너 홀들 (fastener holes) 을 갖는다. 패스너 홀 각각은 환형 바디를 지지 링에 부착하기 위해 사용된 패스너를 수용하기 위해 쓰레드된 내측 표면을 갖는다. 에지 링은 환형 바디의 내측 측면에 배치된 단차부 (step) 를 더 포함한다. 단차부는 기울어진 표면에 의해 상단 측면의 상부 표면으로부터 분리된 하부 표면을 갖는다. 에지 링은 상단 측면의 상부 표면과 외측 측면의 측 표면 사이에 형성된 커브된 에지를 포함한다.
몇몇 실시예들에서, 플라즈마 프로세싱 챔버에서 사용하기 위한 커버 링이 기술된다. 커버 링은 에지 링을 둘러싸고 접지 링에 인접한 환형 바디를 갖는다. 환형 바디는 상부 바디 부분, 중간 바디 부분, 및 하부 바디 부분을 갖는다. 중간 바디 부분은 중간 바디 부분이 제 1 환형 폭을 갖도록 상부 바디 부분으로부터 단차형 감소를 규정한다. 하부 바디 부분은 하부 바디 부분이 제 1 환형 폭보다 작은 제 2 환형 폭을 갖도록 중간 바디 부분으로부터 단차형 감소를 규정한다.
다양한 실시예들에서, 플라즈마 챔버의 에지 링을 고정하기 위한 시스템이 기술된다. 시스템은 그 위에 에지 링이 배향되는 지지 링을 포함한다. 시스템은 에지 링의 하단 표면과 지지 링의 상단 표면 사이에 배치된 겔 층을 더 포함한다. 시스템은 또한 에지 링을 지지 링에 고정하도록 구성된 복수의 스크루들을 포함한다. 복수의 스크루들 각각은 에지 링의 하단 표면에 배치된 스크루 홀들에 부착되고 그리고 지지 링을 통과한다. 시스템은 또한 지지 링의 하단 표면에 연결된 복수의 홀드 다운 로드들 (hold down rods) 을 포함한다. 시스템은 복수의 공압 피스톤들을 포함한다. 복수의 공압 피스톤들 각각은 복수의 홀드 다운 로드들의 각각의 홀드 다운 로드에 커플링된다.
본 명세서에 기술된 시스템들 및 방법들의 일부 장점들은 날카롭지 않은, 하나 이상의 커브된 에지들을 갖는, 에지 링을 제공하는 것을 포함한다. 날카로운 에지, 예컨대 90° 각도를 갖는 에지는 보통 플라즈마가 플라즈마 챔버 내에 형성될 때 RF 전력의 아크 발생에 책임이 있다. 하나 이상의 커브된 에지들은 이러한 아크 발생 기회들을 감소시킨다.
시스템들 및 방법들의 다른 장점들은 에지 링을 지지 링에 커플링하기 위해, 스크루들과 같은 패스너들을 수용하기 위해, 스크루 홀들과 같은, 하나 이상의 슬롯들을 갖는 에지 링을 제공하는 것을 포함한다. 지지 링과 에지 링의 커플링은, 기판의 프로세싱 동안 겔에 인가된 힘으로 인해, 에지 링과 지지 링 사이에 겔에 의해 제공된 접착력이 감소되거나 겔이 마모되거나 겔이 와해될 때에도, 지지 링에 대해 에지 링을 고정한다. 게다가, 지지 링은 하나 이상의 홀드 다운 로드들을 통해 절연체 링에 대해 고정된다. 이에 따라, 에지 링은 지지 링을 통해 절연체 링에 대해 고정되고, 따라서, 에지 링은 기판의 프로세싱 동안 배치될 수 없다. 이러한 배치 결여는 기판 상에서 수행될 임의의 원치 않은 프로세스의 기회들을 감소, 예컨대 방지하거나 기판의 원치 않은 영역들이 프로세싱될 기회들을 감소시킨다.
시스템들 및 방법들의 부가적인 장점들은 하나 이상의 홀드 다운 로드들을 통해 지지 링을 풀 다운 또는 푸시 업하기 위해, 하나 이상의 메커니즘들, 예컨대 하나 이상의 공압 메커니즘들을 제공하는 것을 포함한다. 풀 다운은 지지 링이 절연체 링에 대해 배치될 기회들을 감소시키도록 기판의 프로세싱 동안 수행된다. 이와 같이, 풀 다운으로 인해, 그리고 복수의 프로세싱 동작들 동안, 예컨대 프로세싱 동작으로부터 또 다른 프로세싱 동작으로, 유지보수를 위해 플라즈마 챔버로부터 교체되거나 제거될 때까지, 에지 링 및 지지 링은 플라즈마 챔버 내에 고정된 채로 남는다. 푸시 업은 교체 또는 유지보수, 예컨대 세정을 위해 에지 링 또는 지지 링을 제거하도록 수행된다.
본 명세서에 기술된 시스템들 및 방법들의 다른 장점들은 플라즈마 챔버 내에서 플라즈마가 형성될 때 아크 발생 기회들을 감소시키기 위해 하나 이상의 커브된 에지들을 갖는 커버 링을 제공하는 것을 포함한다. 게다가, 커버 링은 상기 기술된 바와 같이 커버 링의 수직으로 배향된 내측 표면에서 스탠드-오프 전압을 달성하기 위한 환형 폭을 갖는다.
다른 양태들은 첨부된 도면들과 함께 취해진, 이하의 상세한 기술로부터 자명해질 것이다.
실시예들은 첨부된 도면들과 함께 취해진 이하의 기술을 참조하여 최상으로 이해될 수도 있다.
도 1은 에지 링을 지지 링에 고정하는 방식을 예시하기 위한 시스템의 일 실시예의 도면이다.
도 2는 지지 링에 전력 핀의 커플링을 예시하기 위한 시스템의 일 실시예의 도면이다.
도 3a는 복수의 홀드 다운 로드들을 지지 링에 연결하기 위한 복수의 위치들을 예시하기 위한 시스템의 일 실시예의 도면이다.
도 3b는 지지 링의 하단 표면과 홀드 다운 로드의 커플링을 예시하기 위한 등측도이다.
도 4는 에지 링 및 지지 링을 절연체 링에 고정하기 위한 시스템의 일 실시예의 측면도이다.
도 5는 걸쇠 메커니즘의 일 실시예의 등측도이다.
도 6a는 홀드 다운 로드들의 동기 풀 다운을 예시하기 위한 시스템의 일 실시예의 도면이다.
도 6b는 홀드 다운 로드들의 동기 푸시 업을 예시하기 위한 시스템의 일 실시예의 도면이다.
도 7은 복수의 걸쇠 메커니즘들로 공기의 공급을 예시하기 위한 시스템의 일 실시예의 블록도이다.
도 8a는 에지 링의 일 실시예의 등측도이다.
도 8b는 도 8a의 에지 링의 일 실시예의 상면도이다.
도 8c는 도 8b의 에지 링의 단면도이다.
도 8d는 도 8a의 에지 링에 패스너의 커플링을 예시하기 위한 시스템의 일 실시예의 도면이다.
도 9a는 커버 링의 일 실시예의 등측도이다.
도 9b는 도 9a의 커버 링의 일 실시예의 하면도이다.
도 9c는 도 9a의 커버 링의 일 실시예의 상면도이다.
도 9d는 도 9c의 커버 링의 일 실시예의 단면도이다.
도 9e는 도 9a의 커버 링의 일 실시예의 단면도이다.
도 9f는 도 9a의 커버 링의 일 실시예의 단면도이다.
도 9g는 커버 링의 일 실시예의 단면도이다.
이하의 실시예들은 플라즈마 챔버 내에 에지 링을 고정하기 위한 시스템들 및 방법들을 기술한다. 본 실시예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지의 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
도 1은 때때로 TES (tunable edge sheath) 링으로서 본 명세서에 지칭되는, 지지 링 (112) 에 에지 링 (108) 을 고정하는 방식을 예시하기 위한 시스템 (100) 의 일 실시예의 도면이다. 시스템 (100) 은 에지 링 (108), 접지 링 (114), (아래 도 9g에 도시된) 베이스 링 (116), 절연체 링 (106), (아래 도 9g에 도시된) 커버 링 (118), 및 척 (104) 을 포함한다. 에지 링 (108) 은 전도성 재료, 예컨대 실리콘, 붕소 도핑된 단결정 실리콘, 알루미나, 실리콘 카바이드, 또는 알루미나 층의 상단부 상의 실리콘 카바이드 층, 또는 실리콘의 합금, 또는 이들의 조합으로 이루어진다. 에지 링 (108) 은 환형 바디, 예컨대 원형 바디, 또는 링-형상 바디, 또는 접시-형상 바디를 갖는다는 것을 주의해야 한다. 게다가, 지지 링 (112) 은 유전체 재료, 예컨대 석영, 또는 세라믹, 또는 알루미나 (Al2O3), 또는 폴리머로 이루어진다. 예로서, 지지 링 (112) 은 약 12.5"의 내경, 약 13.5"의 외경, 및 y-축을 따라 약 0.5"의 두께를 갖는다. 예시를 위해, 지지 링 (112) 은 약 12.7" 내지 약 13"를 포함하는 범위의 내경, 약 13.3" 내지 약 14"를 포함하는 범위의 외경, 및 약 0.5" 내지 약 0.7"를 포함하는 범위의 두께를 갖는다. 이는 300 ㎜ 웨이퍼를 프로세싱하기 위해 사용된 플라즈마 챔버에 대한 예시적인 치수일 뿐이다.
게다가, 절연체 링 (106) 은 유전체 재료와 같은 절연체 재료로 이루어지고, 베이스 링 (116) 은 또한 유전체 재료로부터 제조된다. 접지 링 (114) 은 전도성 재료로 이루어진다. 접지 링 (114) 은 접지 전위에 커플링된다. 척 (104) 의 예는 정전 척을 포함한다. 에지 링 (108), 지지 링 (112), 접지 링 (114), 베이스 링 (116), 및 절연체 링 (106) 과 같은 링 각각은 링 형상 또는 디스크 형상과 같은 환형 형상을 갖는다. 커버 링 (118) 은 유전체 재료, 예컨대 융합된 실리카 - 석영, 또는 세라믹 재료, 예컨대 알루미늄 옥사이드 (Al2O3) 또는 이트륨 옥사이드 (Y2O3) 로 이루어진다. 커버 링 (118) 은 디스크 형상 또는 링 형상과 같은 환형 바디를 갖는다.
에지 링 (108) 의 하단 표면은 에지 링 (108) 으로 지지 링 (112) 을 열적으로 싱크하기 위해 (sink) 열적으로 전도성 겔 층 (110A) 을 통해 지지 링 (112) 의 상부 표면에 커플링되는 부분 P1을 갖는다. 본 명세서에 사용된 바와 같은, 열적으로 전도성 겔의 예들은 폴리이미드, 폴리케톤, 폴리에테르케톤, 폴리에테르 술폰, 폴리에틸렌 테레프탈레이트, 플루오로에틸렌 프로필렌 코폴리머들, 셀룰로스, 트리아세테이트들, 및 실리콘을 포함한다. 게다가, 에지 링 (108) 의 하단 표면은 열적으로 전도성 겔 층 (110B) 을 통해 척 (104) 의 상부 표면에 커플링되는 다른 부분 P2을 갖는다. 이에 더하여, 에지 링 (108) 의 하단 표면은 베이스 링 (116) 에 인접하게 위에 위치되는 또 다른 부분 P3을 갖는다.
에지 링 (108) 은 베이스 링 (116), 지지 링 (112), 및 척 (104) 위에 위치된다. 에지 링 (108) 의 하단 표면은 베이스 링 (116), 지지 링 (112), 및 척 (104) 의 부분을 대면한다. 에지 링 (108) 은 또한 척 (104) 의 상단 부분 PRTN1과 같은 부분을 둘러싼다. 지지 링 (112) 은 척 (104) 의 부분을 둘러싸고 절연체 링 (106) 은 척 (104) 의 또 다른 부분을 둘러싼다. 베이스 링 (116) 은 지지 링 (112) 및 절연체 링 (106) 의 부분을 둘러싼다. 커버 링 (118) 은 에지 링 (108) 및 베이스 링 (116) 을 둘러싼다. 접지 링 (114) 은 커버 링 (118) 의 부분 및 베이스 링 (116) 을 둘러싼다. 커버 링 (118) 의 부분은 접지 링 (114) 위에 위치되고 커버 링 (118) 은 접지 링 (114) 의 측면 부분에 인접하게 위치된다.
에지 링 (108) 은 에지 1, 및 에지 2, 및 에지 3, 및 에지 4, 에지 5, 및 에지 6와 같은 복수의 에지들을 갖는다. 에지 1 내지 에지 6 각각은 커브, 예컨대 아치형이 된다. 예시를 위해, 에지 1 내지 에지 6 각각은 날카로움이 결여되고, 반경을 갖고, 평활한 커브를 갖는다. 다양한 실시예들에서, 에지 1 내지 에지 6 각각 반경은 약 0.01" 내지 약 0.03"를 포함하는 범위보다 크다는 것을 주의해야 한다. 약 0.01" 내지 약 0.03"를 포함하는 범위의 에지의 반경은 반도체 웨이퍼의 제조 동안 에지의 칩핑 (chipping) 기회들을 감소시킨다. 칩핑은 반도체 웨이퍼의 프로세싱 동안 입자들을 생성한다.
일 실시예에서, 에지 링 (108) 은 복수의 에지들을 갖는다. 일 실시예에서, 에지 링 (108) 을 규정하는 에지들은 라운딩된다 (rounded). 예로서, 에지 링 (108) 의 에지 1은 약 0.03" 이상, 그리고 바람직하게 0.07"보다 큰 반경으로 라운딩된다. 예시를 위해, 에지 1은 약 0.03" 내지 약 0.1"를 포함하는 범위의 반경을 갖도록 라운딩된다. 또 다른 예시로서, 에지 1은 약 0.07" 내지 약 0.1"를 포함하는 범위의 반경을 갖도록 라운딩된다. 에지 링 (108) 의 에지 1은 접지 링 (114) 에 대한 근접성으로 인해, 특히 아치형이 되기 쉽다. 플라즈마 프로세싱 동작들에서 사용되는 상승된 전력 레벨들로 인해, 에지 링 (108) 과 접지 링 (114) 사이에 고 전기장이 생성될 것이다. 에지 1의 라운딩은 이러한 아크 발생 기회들을 감소시킨다. 이들 에지들의 보다 적은 라운딩은 플라즈마 챔버가 동작 중일 때 RF 전력의 아크 발생 기회들을 방지하거나 감소시키기에 충분하지 않을 수도 있다고 결정되었다. 플라즈마 챔버 내 피처들의 보다 날카로운 에지들에 의해 영향을 받는, 아크 발생 기회들의 감소는 반도체 웨이퍼들 위 및 상에서 수행될 제조 프로세스에 유해할 수도 있다. 약간 라운딩되는 에지들, 예를 들어, 약 0.01" 내지 약 0.03"를 포함하는 범위의 에지 1은 반도체 웨이퍼들의 제조 동안 입자 생성, 또는 제조 동안 에지들의 칩핑 가능성을 감소시키는 것을 보조한다. 따라서, 일부 라운딩이 플라즈마 챔버 내에 배치된 피처들의 표면들, 예를 들어, 에지 1 상에서 수행되더라도, 이 라운딩은 플라즈마 프로세싱 동작들에서 사용될 상승된 전력 레벨들을 고려하면, 아크 발생을 방지하거나 감소시키는 것보다 작다.
커브된 에지 1 내지 에지 6은 시스템 (100) 이 놓인 플라즈마 챔버 내에서 플라즈마가 형성될 때 칩핑 또는 아치형이 될 기회들을 감소시킨다. 플라즈마의 플라즈마 이온들이 에지 5와 척 (104) 사이의 갭에 들어갈 기회들을 감소시키도록 에지 5는 에지 1과 비교하여 보다 덜 라운딩된다는 것을 주의해야 한다. 예를 들어, 에지 5의 반경은 에지 1의 반경보다 작다. 예로서, 에지 링 (108) 은 x-축을 따라, 약 13.6" 내지 약 15"를 포함하는 범위인, 외경을 갖는다. 다른 예로서, 에지 링 (108) 은 약 13" 내지 약 15"를 포함하는 범위인 외경을 갖는다. 또 다른 예로서, 에지 링 (108) 은 약 0.248"의 y-축을 따라 측정된 두께를 갖는다. 예시를 위해, 에지 링 (108) 의 두께는 약 0.24" 내지 약 0.256"를 포함하는 범위이다. x-축은 y-축에 수직이다. 에지 링 (108) 의 외경이 점점 커짐에 따라, 에지 링 (108) 의 에지 1에 연속인 외측 측면 표면과 커버 링 (118) 사이의 거리는 감소된다. 거리의 감소는 플라즈마 챔버 내에서 플라즈마가 형성될 때 에지 링 (108) 과 커버 링 (118) 사이의 RF 전력의 아크 발생 기회들을 감소시킨다.
에지 링 (108) 은 에지 링 (108) 의 하단 표면 내에 형성된 슬롯 (125) 과 같은 복수의 슬롯들을 포함한다. 에지 링 내 슬롯의 일 예는 스크루 홀이다. 슬롯 각각은 패스너 홀 (124A) 과 같은, 패스너 홀을 둘러싼다. 패스너 홀들은 에지 링 (108) 내에 쓰루홀들을 형성하도록, 에지 링 (108) 의 길이를 따라, y-축을 따라 완전히 연장하지 않는다. 복수의 패스너 홀들은 에지 링 (108) 의 하단 표면 내에 형성된다. 패스너 홀의 일 예는 스크루를 수용하기 위한 나선형 쓰레드들을 갖는 스크루 홀이다. 슬롯 (125) 은 상단 표면 TS 및 측 표면 SS를 갖는다. 상단 표면 TS 및 측 표면 SS는 에지 링 (108) 의 하단 표면 내로 드릴링 (drilling) 함으로써 형성된 표면들이다. 측 표면 SS는 상단 표면 TS에 실질적으로 수직이다. 예를 들어, 측 표면 SS는 상단 표면 TS에 대해 기울어지고, 예컨대 85° 내지 95° 범위의 각도를 형성한다. 또 다른 예로서, 측 표면 SS는 상단 표면 TS에 수직이다. 상단 표면 TS는 패스너 홀 (124A) 을 부분적으로 둘러싸고 측 표면 SS는 패스너 홀 (124A) 을 부분적으로 둘러싼다.
게다가, 쓰루홀 (132A) 은 스크루 또는 볼트 또는 핀과 같은, 패스너 (122) 를 수용하기 위해 지지 링 (112) 내에 형성된다. 유사하게, 쓰루홀 (132A) 과 같은 부가적인 쓰루홀들이, 본 명세서에 기술된, 지지 링 (112) 을 에지 링에 커플링하기 위해, 패스너 (122) 와 같은, 복수의 패스너들을 수용하도록 지지 링 (112) 내 다른 위치들에 형성된다. 예를 들어, 3 개의 쓰루홀들이 x-축을 따르는, 수평면에 정삼각형의 꼭짓점들의 지지 링 (112) 내에 형성된다. 또 다른 예로서, 6 또는 9 개의 쓰루홀들이 지지 링 (112) 내에 형성되고 그리고 쓰루홀들 중 인접한 쓰루홀들의 세트 사이의 거리는 쓰루홀들의 인접한 쓰루홀들의 또 다른 세트 사이의 거리와 실질적으로 동일, 예컨대 동일하거나 미리 결정된 한계 내이다. 쓰루홀들의 인접한 쓰루홀들의 세트는 다른 세트의 쓰루홀들의 인접한 쓰루홀들 중 적어도 하나와 동일하지 않은 적어도 하나의 쓰루홀을 갖는다는 것을 주의해야 한다.
패스너 (122) 는 금속, 예컨대 스틸 (steel), 알루미늄, 스틸의 합금, 또는 알루미늄의 합금으로 이루어진다. 쓰루홀 (132A) 은 패스너 (122) 의 형상을 따라 (conform) 형성된다. 예를 들어, 패스너 (122) 는 패스너 (122) 의 바디보다 큰 직경을 갖는 헤드를 갖는다. 쓰루홀 (132A) 의 하부 부분은 패스너 (122) 의 헤드와 비교하여, 예컨대 ㎜ (millimeter) 의 분율만큼과 같이, 약간 보다 큰 직경을 갖도록 제조된다. 게다가, 쓰루홀 (132A) 의 상부 부분은 패스너 (122) 의 바디와 비교하여, ㎜의 분율만큼과 같이, 약간 보다 큰 직경을 갖도록 제조된다. 이에 더하여, 패스너루홀 (124A) 의 직경은 패스너 (122) 의 쓰레드된 부분과 비교하여, ㎜의 분율만큼과 같이, 약간 보다 큰 직경을 갖도록 제조된다. 게다가, y-축을 따라, 슬롯 (125) 의 상단 표면 TS와 패스너 (122) 의 팁 사이에 공간이 형성된다. 공간의 일 예는 약 0 ㎜ 내지 약 1 ㎜를 포함하는 범위인, 갭1이다. 갭 1의 다른 예는 약 0 ㎜ 내지 약 0.5 ㎜를 포함하는 범위의 공간이다. 갭 1의 또 다른 예는 약 0 ㎜ 내지 약 0.25 ㎜를 포함하는 범위의 공간이다. 갭 1의 공간은 y-축을 따라 수직 방향에 있다. 슬롯 (125) 의 상단 표면 TS와 패스너 (122) 의 쓰레드된 부분 사이의 공간은 이 공간 내에서 RF 전력의 아크 발생 기회들을 감소시키도록 약 0 ㎜ 내지 약 0.5 ㎜를 포함하는 범위이다. 아크가 발생하면, 패스너 (122) 는 아크 발생의 결과로서 생성된 열로 인해 용융될 수도 있다.
패스너 (122) 는 패스너 (122) 의 헤드 및 바디가 지지 링 (112) 내에 위치되고 그리고 패스너 (122) 의 쓰레드된 부분은 에지 링 (108) 내에 형성된 패스너 홀 (124A) 내로 삽입되도록 쓰루홀 (132A) 내에 삽입된다. 패스너 (122) 의 측 표면과 지지 링 (112) 의 내측 측면 표면 사이에 형성된, 갭2와 같은 공간은 약 0 ㎜ 내지 약 0.2 ㎜를 포함하는 범위이다.
지지 링 (112) 은 보조 RF (radio frequency) 생성기로부터 임피던스 매칭 회로와 같은, 보조 매칭부를 통해 수신된 RF 신호의 RF 전력을 수신하기 위한 전극 EL에 임베딩된다 (embedded).
일부 실시예들에서, 지지 링 (112) 은 커플링 링이다.
다양한 실시예들에서, 패스너 (122) 는 플라스틱으로 이뤄진다.
일부 실시예들에서, 전도성 겔 층 대신, 측면들 모두에 전도성 겔을 갖는 2-측면 전도 테이프가 사용된다. 다양한 실시예들에서, 전도성 겔 층 대신, 전도성 겔을 갖는 코킹 비드 (caulking bead) 가 사용된다.
일부 실시예들에서, 복수의 쓰레드들을 갖는 패스너 홀 대신, 본 명세서에 기술된 에지 링의 하단 표면 내 패스너 홀이 금속 케이싱 (casing) 과 같은 삽입부와 피팅된다 (fit). 예시를 위해, 금속 케이싱은 에지 링의 하단 표면 내에 형성된 슬롯에 스크루고정된다. 삽입부는 삽입부의 내측 표면 내에 쓰레드들을 갖는다. 이어서 패스너는 쓰레드들을 갖는 패스너 홀에 스크루고정되는 대신 삽입부의 쓰레드들에 스크루고정된다.
도 2는 지지 링 (112) 에 전력 핀 (208) 의 커플링을 예시하기 위한 일 실시예의 시스템 (200) 의 도면이다. 시스템 (200) 은 에지 링 (228), 커버 링 (201), 접지 링 (114), 절연체 링 (106), 베이스 링 (210), 설비 플레이트 (224), 척 (104), 지지 링 (112), 보울 (218), 및 절연체 벽 (230) 을 포함한다. 절연체 벽 (230) 은 본 명세서에 기술된 플라즈마 챔버의 바이어스 하우징의 벽이다. 절연체 링 (106) 은 절연체 벽 (230) 에 대해 고정, 예컨대 이동가능하지 않다. 접지 링 (114) 은 코팅되지 않는다. 에지 링 (228) 은 때때로 본 명세서에서 고온 에지 링 (HER: hot edge ring) 으로 지칭된다. 에지 링 (228) 은 에지 링 (228) 이 에지 링 (108) 과 상이한 형상을 갖는 것을 제외하고 도 1의 에지 링 (108) 과 동일한 재료로 이루어진다. 예로서, 에지 링 (228) 은 약 13.6" 내지 약 15"를 포함하는 범위인, x-축을 따라 외경을 갖는다. 또 다른 예로서, 에지 링 (228) 은 약 13" 내지 약 15"를 포함하는 범위인 외경을 갖는다. 또 다른 예로서, 에지 링 (228) 은 y-축을 따라 측정된, 약 0.248"의 두께를 갖는다. 예시를 위해, 에지 링 (228) 의 두께는 약 0.24" 내지 약 0.256"를 포함하는 범위이다. 도 1의 패스너 홀 (124A) 과 같은, 복수의 패스너 홀들이 에지 링 (228) 을 지지 링 (112) 에 고정하도록 에지 링 (228) 내에 형성된다.
게다가, 커버 링 (201) 은 커버 링 (201) 이 커버 링 (118) 과 상이한 형상을 갖는 것을 제외하고 도 1의 커버 링 (118) 과 동일한 재료로 이루어진다. 커버 링 (201) 은 디스크 형상 또는 링 형상과 같은, 환형 바디를 갖는다. 커버 링 (201) 의 부분은 접지 링 (114) 위에 인접하게 위치되고 커버 링 (201) 의 또 다른 부분이 베이스 링 (210) 위에 인접하게 위치된다. 유사하게, 베이스 링 (210) 은 베이스 링 (210) 이 베이스 링 (116) 과 상이한 형상을 갖는 것을 제외하고 도 1의 베이스 링 (116) 과 동일한 재료로 이루어진다.
에지 링 (228) 의 부분 P5는 지지 링 (112) 을 에지 링 (228) 에 열적으로 싱크하도록 겔 층 (110C) 을 통해 지지 링 (112) 에 커플링된다. 유사하게, 에지 링 (228) 의 또 다른 부분 P4는 겔 층 (110C) 을 통해 척 (104) 의 부분에 커플링된다. 에지 링 (228) 은 척 (104) 의 상단 부분 PRTN1을 둘러싼다. 또한, 에지 링 (228) 의 또 다른 부분 P6은 베이스 링 (210) 의 상단 표면의 부분에 인접하다. 게다가, 커버 링 (201) 은 에지 링 (228) 을 둘러싼다. 지지 링 (112) 은 에지 링 (228) 아래에 위치되고 척 (104) 의 부분을 둘러싼다. 절연체 링 (106) 은 지지 링 (112) 아래에 위치되고 척 (104) 의 하단 부분을 둘러싼다. 베이스 링 (210) 은 커버 링 (201) 아래에 위치되고 절연체 링 (106) 의 부분을 둘러싼다. 접지 링 (114) 은 베이스 링 (210) 및 절연체 링 (106) 의 부분을 둘러싼다. 보울 (218) 은 절연체 링 (106) 아래에 위치된다. 절연체 벽 (230) 은 접지 링 (114) 및 절연체 링 (106) 의 부분 아래에 위치된다. 절연체 벽 (230) 은 절연체 재료로부터 제조된다.
전력 핀 피드 쓰루 (206) 는 절연체 링 (106) 의 쓰루홀 및 지지 링 (112) 에 형성된 홀을 통해 삽입된다. 전력 핀 피드 쓰루 (206) 는 전력 핀 (208) 을 보호하기 위해, 절연체, 예컨대 플라스틱 또는 세라믹으로 이루어진 슬리브이다. 전력 핀 피드 쓰루 (206) 내에 전력 핀 (208) 이 놓인다. 전력 핀 (208) 은 지지 링 (112) 으로 또는 지지 링 (112) 내 전극 EL으로 RF 전력의 전도를 위해, 금속, 예컨대 알루미늄 또는 스틸로 이루어진 전도성 로드이다. 전력 핀 (208) 의 팁은 RF 전력을 전극 EL에 제공하기 위해 전극 EL과 콘택트한다. 전력 핀 피드 쓰루 (206) 의 중간 부분은 절연체 재료로부터 제조되는, 장착부 (216A) 내에 인케이싱된다 (encase).
O-링 (214) 이 전력 핀 피드 쓰루 (206) 에 대해 장착부 (216A) 를 시일링하도록 장착부 (216A) 위에 그리고 장착부 (216A) 에 인접하게 위치된다. O-링 (214) 은 전력 핀 피드 쓰루 (206) 의 하단 부분을 둘러싼다. 본 명세서에 기술된, O-링의 일 예는 금속, 예컨대 알루미늄 또는 스틸로 이루어진 링이다. 게다가, 또 다른 O-링 (204) 은 전력 핀 (208) 과 전력 핀 피드 쓰루 (206) 사이의 공기가 내부에 시스템 (200) 이 포함되는 플라즈마 챔버 내에 플라즈마에 들어가는 것을 방지하도록 전력 핀 피드 쓰루 (206) 의 상단 부분에 놓인다. 전력 핀 (208) 과 전력 핀 피드 쓰루 (206) 사이에 진공이 없다. O-링 (204) 은 전력 핀 피드 쓰루 (206) 의 상단 부분을 둘러싼다.
일부 실시예들에서, 복수의 전력 핀들이 사용된다는 것을 주의해야 한다. 예를 들어, 2 개의 전력 핀들이 전력 핀 피드 쓰루들과 함께 사용될 수 있고, 전력 핀 피드 쓰루들의 각각의 하단 부분들을 둘러싸는 O-링들, 및 전력 핀 피드 쓰루들의 각각의 상단 부분들을 둘러싸는 O-링들이 복수의 위치들에서 전극 EL에 전력을 제공하도록 사용된다.
스탠드-오프 RF 전압이 보조 RF 생성기로부터 보조 매칭부를 통해 수신되는 RF 신호의 RF 전력으로부터 생성된다. 스탠드-오프 RF 전압은 커버 링 (201) 의 수직으로 배향된 내측 표면 (203) 으로부터 접지 링 (114) 까지 트래킹가능 거리 (213) 를 갖는다. 스탠드-오프 RF 전압은 수직으로 배향된 내측 표면 (203) 으로부터 접지 링 (114) 까지 트래킹가능 거리 (213) 를 통해 생성된다. 일부 실시예들에서, 에지 링 (228) 과 접지 링 (114) 사이의 트래킹가능 거리 (213) 가 에지 링 (228) 으로부터 접지 링 (114) 으로 RF 전압의 미리 결정된 양보다 적게 손실하기 충분하도록 커버 링 (201) 의 환형 폭이 선택된다는 것을 주의해야 한다. 예를 들어, 커버 링 (201) 의 수직으로 배향된 내측 표면 (203) 에서 달성될 스탠드-오프 전압의 미리 결정된 양은 5000 V이고 커버 링 (201) 의 0.001" 당 7 내지 10 V가 소산되고, 트래킹가능 거리 (213) 또는 환형 폭이 복수, 예컨대 2 또는 3 개의 5000 V와 10 V의 비이도록 커버 링 (201) 의 환형 폭이 선택된다. 예시를 위해, 이 비는 (2 X 5000)/ 10 V이다. 트래킹가능 거리 (213) 는 커버 링 (201) 의 단면의 x-y 평면에 있다. x-y 평면은 x-축 및 y-축에 의해 형성되고 x-축과 y-축 사이에 위치된다.
일부 실시예들에서, 복수의 전력 핀 피드 쓰루들은 RF 전력을 제공하도록 지지 링 (112) 에 커플링된다. 전력 핀 피드 쓰루 각각은 전력 핀을 갖는다.
일부 실시예들에서, 접지 링 (114) 은 접지 링 (114) 의 전도도를 상승시키도록, 알루미나와 같은 전도성 재료로 코팅된다.
다양한 실시예들에서, 겔 층 (110C) 은 에지 링 (228) 과 지지 링 (112) 사이 그리고 에지 링 (228) 과 척 (104) 사이에 전기적 및 열적 전도도를 제공하기 위해 에지 링 (228) 의 하부 표면을 따라 그리고 지지 링 (112) 및 척 (104) 의 상부 표면을 따라 복수의 위치들에 배치된다.
도 3a는 시스템 (300) 의 일 실시예의 도면이다. 홀드 다운 로드 (302A 및 302B) 각각은 지지 링 (112) 의 하단 표면 (308) 의 각각의 슬롯을 통해 삽입된다. 예를 들어, 홀드 다운 로드 (302A) 는 위치 L1에서 지지 링 (112) 을 절연체 링 (106) 에 고정하도록 하단 표면 (308) 의 위치 L1에서 제 1 슬롯 내로 삽입된다. 유사하게, 홀드 다운 로드 (302B) 는 위치 L2에서 지지 링 (112) 을 절연체 링 (106) 에 고정하도록 하단 표면 (308) 의 위치 L2에서 제 2 슬롯 내로 삽입된다. 또 다른 예로서, 홀드 다운 로드 (302A 및 302B) 각각은 상단 부분에 쓰레드를 갖고 쓰레드는 하단 표면 (308) 내에 형성된 각각의 슬롯의 쓰레드와 매이팅한다. 또 다른 예로서, 홀드 다운 로드 (302A 및 302B) 각각은 하단 표면 (308) 내의 각각의 슬롯 내로 삽입 전 수축되고 (retract) 삽입 후 연장되는 스프링 기반 수축가능하고 연장가능한 메커니즘을 갖는다.
지지 링 (112) 의 y-축을 따라 측정된 길이를 따라 형성된 쓰루홀 각각의 사이즈는 지지 링 (112) 의 외경 (OD) 및 내경 (ID) 과 함께 가변한다는 것을 주의해야 한다. 지지 링 (112) 의 내경은 도 1 및 도 2의 척 (104) 의 직경과 함께 가변한다.
다양한 실시예들에서, 임의의 수, 예컨대 2 또는 2보다 많은, 홀드 다운 로드들이 지지 링 (112) 에 커플링하도록 사용된다.
도 3b는 지지 링 (112) 의 하단 표면 (308) 과 홀드 다운 로드 (302A) 의 커플링을 예시하기 위한 등측도이다. 지지 링 (112) 의 길이를 따라 부분적으로 연장하는 슬롯 (330) 이 하단 표면 내에 형성된다. 지지 링 (112) 의 길이는 y-축을 따른다. 슬롯 (330) 은 금속, 예컨대 알루미늄 또는 스틸 또는 티타늄 또는 알루미늄의 합금 또는 스틸의 합금 또는 티타늄의 합금으로 이루어진 리셉터 (332) 와 피팅된다. 예를 들어, 리셉터 (332) 는 쓰레드들과 같은 부착 메커니즘을 통해 슬롯 (330) 의 표면에 부착된다. 더 예시하면, 슬롯 (330) 은 리셉터 (332) 의 쓰레드들이 피팅되는 쓰레드들을 갖는다. 홀드 다운 로드 (302A) 의 팁이 홀드 다운 로드 (302A) 를 지지 링 (112) 에 연결하기 위해 리셉터 (332) 에 피팅하도록 리셉터 (332) 내로 삽입된다.
도 4는 에지 링 (924) 및 지지 링 (112) 을 절연체 링 (106) 에 고정하기 위한 시스템 (900) 의 일 실시예의 측면도이다. 에지 링 (924) 의 예들은 도 1의 에지 링 (108) 및 도 2의 에지 링 (228) 을 포함한다. 시스템 (900) 은 걸쇠 메커니즘 (920A), 절연체 링 (106), 지지 링 (112), 및 에지 링 (924) 을 포함한다. 걸쇠 메커니즘 (920A) 은 공기 실린더 (912), 공압 피스톤 (922), 쓰레드된 어댑터 (908), 푸시 커넥터 (906), 및 홀드 다운 로드 (302A) 를 절연체 벽 (230) 에 장착하기 위한 마운트 (604A) 를 포함한다. 마운트 (604A) 는 복수의 숄더 스크루들 (902) 을 통해 절연체 벽 (230) 에 장착된다. 걸쇠 메커니즘 (920A) 은 걸쇠 메커니즘 (920A) 의 측면에 공기 피팅 (914A) 및 공기 피팅 (914B) 을 포함하는, 복수의 공기 피팅들 (914) 에 커플링된다. 본 명세서에 기술된 걸쇠 메커니즘은 스틸, 알루미늄, 스틸의 합금, 또는 알루미늄의 합금, 등과 같은 금속으로 이루어진다. 게다가, 공기 피팅들 (914) 은 또한 스틸, 알루미늄, 스틸의 합금, 또는 알루미늄의 합금, 등과 같은 금속으로 이루어진다.
피스톤 (922) 은 피스톤 바디 (916) 및 피스톤 로드 (918) 를 갖는다. 공기 실린더 (912) 내에 피스톤 바디 (916) 가 있다. 피스톤 바디 (916) 는 피스톤 로드 (918) 보다 큰 직경을 갖는다. 피스톤 바디 (916) 는 피스톤 로드 (918) 에 부착되거나 피스톤 로드 (918) 와 통합된다. 마운트 (604A) 는 공기 실린더 (912) 의 상단 표면에서 복수의 스크루들 (910) 을 통해 공기 실린더 (912) 에 부착된다. 이 쓰레드된 어댑터 (908) 는 마운트 (604A) 의 중심 개구부 (930) 내로 삽입된다. 이 쓰레드된 어댑터 (908) 는 피스톤 로드 (918) 의 상단 표면 내에 형성된 슬롯 내로 피팅된다. 게다가, 쓰레드된 어댑터 (908) 는 슬롯 내에 푸시 커넥터 (push connector) (906) 를 피팅하기 위해 슬롯을 갖는다. 푸시 커넥터 (906) 는 마운트 (604A) 의 중심 개구부 (930) 를 통해 삽입되는 홀드 다운 로드 (302A) 와 피팅된다. 푸시 커넥터 (906) 는 홀드 다운 로드 (302A) 의 하단 부분에 부착, 예컨대 스크루고정된다.
유사하게, 다른 홀드 다운 로드 (302B) (도 3a) 는 이하에 기술되는, 걸쇠 메커니즘 (920B) 의 또 다른 공압 메커니즘들에 커플링된다. 예를 들어, 걸쇠 메커니즘 (920B) 은 y-축을 따라 수직 방향으로 홀드 다운 로드 (302B) 를 위 및 아래로 이동시키도록 홀드 다운 로드 (302B) 에 커플링되는 피스톤, 예컨대 피스톤 (922) 을 포함한다. 홀드 다운 로드 (302A) 는 지지 링 (112) 의 하단 표면 (308) 내에 형성된 슬롯 (931) 의 대응하는 쓰레드들 (934) 과 매이팅하기 위한 팁에 쓰레드들 (932) 을 갖는다. 홀드 다운 로드 (302A) 의 상단 부분 PR1은 지지 링 (112) 의 하단 표면을 통해 지지 링 (112) 내 슬롯 내로 연장하고, 홀드 다운 로드 (302A) 의 중간 부분 PR2는 절연체 링 (106) 의 쓰루홀을 통해 연장한다. 유사하게, 홀드 다운 로드 (302B) 의 상단 부분은 지지 링 (112) 하단 표면 내 슬롯 내로 연장하고, 홀드 다운 로드 (302B) 의 중간 부분은 절연체 링 (106) 내 쓰루홀 내로 연장한다.
지지 링 (112) 은 상기 기술된 바와 같이, 하나 이상의 패스너들을 통해 에지 링 (924) 에 물리적으로 연결된다. 공기 피팅 (914B) 을 통해 공기 실린더 (912) 의 하부 부분으로 공기가 공급될 때, 압력이 피스톤 바디 (916) 의 하부 표면 하의 공기에 의해 생성된다. 피스톤 바디 (916) 의 하부 표면 아래에 생성된 압력으로 인해, 피스톤 (922) 은 y-축을 따라, 홀드 다운 로드 (302A) 를 푸시 업하도록 수직으로 상향 방향으로 이동된다. 홀드 다운 로드 (302A) 가 푸시 업될 때, 지지 링 (112) 은 절연체 링 (106) 에 대해 수직으로 상향 방향으로 상승된다. 지지 링 (112) 과 동시에, 에지 링 (924) 이 또한 절연체 링 (106) 으로부터 수직으로 상향 방향으로 상승된다. 지지 링 (112) 및 에지 링 (924) 은 플라즈마 챔버로부터 지지 링 (112) 및 에지 링 (924) 을 제거하기 위해 수직으로 상향 방향으로 절연체 링 (106) 으로부터 푸시 업된다. 지지 링 (112) 및 에지 링 (924) 은 지지 링 (112), 또는 에지 링 (924), 이의 조합의 교체 또는 유지보수를 위해 제거된다.
한편, 공기가 공기 피팅 (914A) 을 통해 공기 실린더 (912) 의 상부 부분에 공급될 때, 압력이 피스톤 바디 (916) 의 상부 표면 위에 공기에 의해 생성된다. 피스톤 바디 (916) 의 상부 표면 위에 생성된 압력으로 인해, 피스톤 (922) 은 홀드 다운 로드 (302A) 를 풀 다운하도록 y-축을 따라 수직으로 하향 방향으로 이동한다. 홀드 다운 로드 (302A) 가 풀 다운될 때, 지지 링 (112) 은 절연체 링 (106) 에 대해 수직으로 하향 방향으로 풀 다운된다. 지지 링 (112) 과 동시에, 에지 링 (924) 은 또한 절연체 링 (106) 을 향해 수직으로 하향 방향으로 풀 다운된다. 지지 링 (112) 및 에지 링 (924) 은 척 (104) 위에 배치된 기판의 프로세싱을 위해 그리고 프로세싱 동안 절연체 링 (106) 을 향해 풀 다운된다.
도 5는 걸쇠 메커니즘 (920A) 의 일 실시예의 등측도이다. 스크루들 (910) 및 마운트 (604A) 중 하나가 도 5에 도시된다.
도 6a는 복수의 홀드 다운 로드들 (302A 및 302B) (도 3a) 의 동기 풀 다운을 예시하기 위한 시스템 (1100) 의 일 실시예의 도면이다. 시스템 (1100) 은 공기 라우팅 (1102A), 걸쇠 메커니즘 (920A), 걸쇠 메커니즘 (920B), 및 걸쇠 메커니즘 (920C) 을 포함한다. 걸쇠 메커니즘 (920B) 및 걸쇠 메커니즘 (920C) 은 걸쇠 메커니즘 (920A) 과 동일한 구조 및 기능을 갖는다. 예로서, 걸쇠 메커니즘 (920A 내지 920C) 각각은 복동 (double-acting) 실린더를 갖는다. 걸쇠 메커니즘 (920B) 은 마운트를 통해 홀드 다운 로드 (302B) 에 연결되고 걸쇠 메커니즘 (920C) 은 마운트를 통해 홀드 다운 로드에 연결된다.
본 명세서에 기술된 바와 같이, 공기 라우팅은 각각이 플라스틱과 가소제의 조합 또는 플라스틱과 같은, 절연체 재료로 이루어진, 복수의 튜브들을 갖는다. 공기 라우팅은 걸쇠 메커니즘들 (920A 내지 920C) 의 상부 부분들 또는 하부 부분들에 연결할 수 있도록 유연하다.
공기 라우팅 (1102A) 은 복수의 튜브들 (1106A, 1106B, 1106C, 1106D, 및 1106E) 을 포함한다. 튜브들 (1106A 및 1106D) 은 커넥터 C1을 통해 튜브 (1106B) 에 연결되고 튜브들 (1106B 및 1106E) 은 커넥터 C2를 통해 튜브 (1106C) 에 연결된다. 본 명세서에 기술된, 복수의 튜브들을 연결하는 커넥터 각각은 중공 공간을 통해 공기의 통과를 허용하기 위한 중공 공간을 갖는다. 예로서, 복수의 튜브들을 연결하는 커넥터 각각은 절연체 재료로 이루어진다.
튜브 (1106D) 는 걸쇠 메커니즘 (920A) 의 상부 부분 (1104A) 에 공기 피팅 (914A) (도 4) 을 통해 연결된다. 유사하게, 튜브 (1106E) 는 공기 피팅 (914A) 과 같은, 공기 피팅을 통해 걸쇠 메커니즘 (920B) 의 상부 부분 (1104C) 에 연결되고 튜브 (1106C) 는 공기 피팅 (914A) 과 같은 공기 피팅을 통해 걸쇠 메커니즘 (920C) 의 상부 부분 (1104E) 에 연결된다.
공기는 튜브 (1106A), 커넥터 C1, 및 튜브 (1106D) 를 통해 걸쇠 메커니즘 (920A) 의 상부 부분 (1104A) 에 공급된다. 유사하게, 공기는 튜브 (1106A), 커넥터 C1, 튜브 (1106B), 커넥터 C2, 및 튜브 (1106E) 를 통해 걸쇠 메커니즘 (920B) 의 상부 부분 (1104C) 에 공급된다. 게다가, 공기는 튜브 (1106A), 커넥터 C1, 튜브 (1106B), 커넥터 C2, 및 튜브 (1106C) 를 통해 걸쇠 메커니즘 (920C) 의 상부 부분 (1104E) 에 공급된다. 공기가 상부 부분 (1104A), 상부 부분 (1104C), 및 상부 부분 (1104E) 에 공급될 때, 걸쇠 메커니즘 (920A 내지 920C) 의 피스톤들이 y-축을 따라, 지지 링 (112) (도 4) 및 에지 링 (924) (도 4) 을 절연체 링 (106) (도 4) 을 향해 동기하여, 예컨대 동시에 이동시키도록 풀 다운된다.
전력 핀 (208), 홀드 다운 로드들 (302A 및 302B), 및 이하에 기술된 온도 프로브 샤프트) 둘레에 복수의 배럴 시일부들 (barrel seals) 이 있다. 배렬 시일부들은 y-축을 따라, 지지 링 (112) 상에 수직으로 상향 방향으로 힘을 가한다. 걸쇠 메커니즘들 (920A 내지 920C) 은 지지 링 (112) 이 척 (104) 에 대해 수직 방향으로 리프팅 업되는 것을 방지하도록 수직으로 상향 방향의 상승을 극복한다. 게다가, 걸쇠 메커니즘들 (920A 내지 920C) 은 에지 링 (924) 과 척 (104) 사이의 겔 층 (110B) 및 겔 층 (110C) (도 1 및 도 2) 에 클램프력을 인가한다. 복동 실린더는 지지 링 (112) 의 온도에 독립적으로 수직 상향 방향으로 또는 수직 하향 방향으로 지지 링 (112) 에 일정한 힘을 인가한다.
도 6b는 복수의 홀드 다운 로드들 (302A 및 302B) (도 3a) 의 동기 푸시 업을 에시하기 위한 시스템 (1150) 의 일 실시예의 도면이다. 시스템 (1150) 은 공기 라우팅 (1102B), 걸쇠 메커니즘 (920A), 걸쇠 메커니즘 (920B), 및 걸쇠 메커니즘 (920C) 을 포함한다.
공기 라우팅 (1102B) 은 복수의 튜브들 (1106F, 1106G, 1106H, 1106I, 및 1106J) 을 포함한다. 튜브 (1106F) 및 튜브 (1106I) 는 커넥터 C3을 통해 튜브 (1106G) 에 연결되고 튜브 (1106G) 및 튜브 (1106J) 는 커넥터 C4를 통해 튜브 (1106H) 에 연결된다. 튜브 (1106I) 는 걸쇠 메커니즘 (920A) 의 하부 부분 (1104B) 에 공기 피팅 (914B) (도 4) 을 통해 연결된다. 유사하게, 튜브 (1106J) 는 공기 피팅 (914B) 과 같은, 공기 피팅을 통해 걸쇠 메커니즘 (920B) 의 하부 부분 (1104D) 에 연결되고 튜브 (1106H) 는 공기 피팅 (914B) 과 같은, 공기 피팅을 통해 걸쇠 메커니즘 (920C) 의 하부 부분 (1104F) 에 연결된다.
공기는 튜브 (1106F), 커넥터 C3, 및 튜브 (1106I) 를 통해 걸쇠 메커니즘 (920A) 의 하부 부분 (1104B) 에 공급된다. 유사하게, 공기는 튜브 (1106F), 커넥터 C3, 튜브 (1106G), 커넥터 C4, 및 튜브 (1106J) 를 통해 걸쇠 메커니즘 (920B) 의 하부 부분 (1104D) 에 공급된다. 게다가, 공기는 튜브 (1106F), 커넥터 C3, 튜브 (1106G), 커넥터 C4, 및 튜브 (1106H) 를 통해 걸쇠 메커니즘 (920C) 의 하부 부분 (1104F) 에 공급된다. 공기가 하부 부분들 (1104B, 1104D, 및 1104F) 에 공급될 때, 걸쇠 메커니즘 (920A 내지 920C) 의 피스톤들이 y-축을 따라, 지지 링 (112) (도 4) 및 에지 링 (924) (도 4) 을 절연체 링 (106) (도 4) 으로부터 이동시키도록 동기하여, 예컨대 동시에 푸시 업된다.
도 7은 걸쇠 메커니즘들 (920A 내지 920C) 로 공기의 공급을 예시하기 위한 시스템 (1200) 의 일 실시예의 블록도이다. 시스템 (1200) 은 복수의 공기 압축기들 (1202A 및 1202B), 복수의 공기압 레귤레이터들 (1204A 및 1204B), 복수의 오리피스들 (1206A 및 1206B), 공기 라우팅들 (1102A 및 1102B), 및 걸쇠 메커니즘들 (920A 내지 920C) 을 포함한다. 공기 압축기 (1202A) 는 레귤레이터 (1204A) 및 오리피스 (1206A) 및 공기 라우팅 (1102A) 을 통해 걸쇠 메커니즘들 (920A 내지 920C) 의 상부 부분들에 커플링된다. 유사하게, 공기 압축기 (1202B) 는 레귤레이터 (1204B) 및 오리피스 (1206B) 및 공기 라우팅 (1102B) 을 통해 걸쇠 메커니즘들 (920A 내지 920C) 의 하부 부분들에 커플링된다.
공기 압축기 (1202A) 는 압축된 공기를 생성하기 위해 공기를 압축한다. 압축된 공기는 공기압 레귤레이터 (1204A) 에 공급된다. 공기압 레귤레이터 (1204A) 는 압축된 공기의 압력을 미리 결정된 공기압으로 변화시키고, 미리 결정된 공기압을 갖는 압축된 공기를 오리피스 (1206A) 및 공기 라우팅 (1102A) 을 통해 걸쇠 메커니즘들 (920A) 의 상부 부분들로 공급하는 것과 같이, 제어한다. 본 명세서에 기술된 미리 결정된 공기압의 일 예는 28 psi (pounds per square inch) 의 공기압이다. 본 명세서에 기술된 바와 같은, 미리 결정된 공기압의 다른 예들은 25 psi 내지 31 psi 범위의 공기압이다.
유사하게, 공기 압축기 (1202B) 는 압축된 공기를 생성하기 위해 공기를 압축한다. 압축된 공기는 공기압 레귤레이터 (1204B) 에 공급된다. 공기압 레귤레이터 (1204B) 는 압축된 공기의 압력을 미리 결정된 공기압으로 변화시키고, 미리 결정된 공기압을 갖는 압축된 공기를 오리피스 (1206B) 및 공기 라우팅 (1102B) 을 통해 걸쇠 메커니즘들 (920B) 의 하부 부분들로 공급하는 것과 같이, 제어한다.
도 8a는 에지 링 (228) 의 일 실시예의 등측도이다. 에지 링 (228) 은 상단 표면 (1604) 을 갖는다. 도 8a는 에지 링 (228) 의 하단 표면 (1612) 내에 형성된 복수의 패스너 홀들 (124A, 124B, 및 124C) 의 투시도 (see-through view) 를 예시한다.
일부 실시예들에서, 6 또는 9 개의 패스너 홀들과 같은, 임의의 다른 수의 패스너 홀들이 각각의 홀들 내에 동일한 수의 패스너들을 피팅하기 위해 하단 표면 (1612) 내에 형성된다. 예를 들어, 에지 링 (228) 의 하단 표면 (1612) 내에 형성된 일 세트의 2 개의 인접한 홀들 사이의 거리는 에지 링 (228) 의 하단 표면 (1612) 내에 형성된 또 다른 세트의 2 개의 인접한 홀들 사이의 거리와 동일하다. 예시를 위해, 이 세트의 2 개의 인접한 홀들 중 어느 하나는 다른 세트의 2 개의 인접한 홀들 중 하나와 동일하거나 동일하지 않다.
도 8b는 에지 링 (228) 의 일 실시예의 상면도이다. 에지 링 (228) 은 내경 ID1 및 외경 OD1을 갖는다. 외경 OD1은 약 13.6" 내지 약 15"를 포함하는 범위이다. 또 다른 예로서, 외경 OD1은 약 13.6" 내지 약 16"를 포함하는 범위이다. 또 다른 예로서, 외경 OD1은 약 12" 내지 약 18"를 포함하는 범위이다. 외경 OD1이 13.6"를 초과할 때, 예컨대 14"보다 크거나 15"에 가까울 때, 에지 링 (228) 과 커버 링 (118) 사이에 RF 전력의 아크 발생 기회들이 감소된다. 내경 ID1은 에지 링 (228) 의 내측 주변 에지의 직경이고 외경 OD1은 에지 링 (228) 의 외측 주변 에지의 직경이다. 상단 표면 (1604) 은 에지 링 (228) 의 상면도에서 볼 수 있다.
도 8c는 도 8b에 예시된 A-A 단면을 따른 에지 링 (228) 의 단면도이다. 에지 링 (228) 은 때때로 본 명세서에서 상단 측면으로 지칭되는, 상단 표면 (1604) 및 때때로 본 명세서에서 하단 측면으로 지칭되는, 하단 표면 (1612) 을 갖는다. 상단 표면 (1604) 및 하단 표면 (1612) 각각은 수평으로 배향된 표면이다. 상단 표면 (1604) 은 때때로 본 명세서에서 상단 측면으로 지칭된다. 에지 링 (228) 은 때때로 본 명세서에서 내측 측면으로 지칭되는, 내측 표면 (1620) 및 때때로 본 명세서에서 외측 측면으로 지칭되는, 외측 표면 (1614) 을 더 갖는다. 외측 표면 (1614) 은 수직으로 배향된 표면이다. 에지 링 (228) 은 원형 바디, 또는 링-형상 바디, 또는 접시-형상 바디와 같은, 환형 바디를 갖는다는 것을 주의해야 한다.
에지 링 (228) 은 기울어진 내측 표면 (1606) 및 수평으로 배향된 내측 표면 (1608) 을 포함하는 단차부 (1622) 를 갖는다. 기울어진 내측 표면 (1606) 은 수직으로 배향된 내측 표면 (1610) 에 대해 약 15° 또는 약 50°인, 각도 A2를 형성한다. 일 실시예에서, 각도 A2는 약 5° 내지 약 55° 범위이다. 다른 실시예에서, 각도 A2는 약 12° 내지 약 20° 범위이다. 또 다른 실시예에서, 각도 A2는 약 10° 내지 약 20° 범위이다. 기울어진 내측 표면 (1606) 은 상단 표면 (1604) 에 연속적이다. 예를 들어, 기울어진 내측 표면 (1606) 은 상단 표면 (1604) 에 대해 반경 R3을 형성한다. 예시를 위해, 반경 R3은 최대 약 0.01"이다. 예를 들어, 반경 R3을 갖는 커브가 기울어진 내측 표면 (1606) 과 상단 표면 (1604) 사이에 형성된다. 예로서, 반경 R3은 약 0.009" 내지 약 0.011"를 포함하는 범위이다.
수평으로 배향된 내측 표면 (1608) 은 기울어진 내측 표면 (1606) 에 연속적이다. 예를 들어, 수평으로 배향된 내측 표면 (1608) 은 기울어진 내측 표면 (1606) 에 대해 반경 R4를 형성한다. 예시를 위해, 반경 R4는 약 0.032"이다. 예를 들어, 반경 R4를 갖는 커브가 수평으로 배향된 내측 표면 (1608) 과 기울어진 내측 표면 (1606) 사이에 형성된다. 예로서, 반경 R4는 약 0.003" 내지 약 0.0034"를 포함하는 범위이다. 반경 R4이 형성되는 에지 링 (228) 상의 위치의 중간 직경 (MD) 은 약 11.858"이다. 예를 들어 중간 직경은 약 11.856" 내지 약 11.86"를 포함하는 범위이다.
본 명세서에 기술된 바와 같은, 수평으로 배향된 표면은 x-축에 실질적으로 평행하고 그리고 본 명세서에 기술된 바와 같은, 수직으로 배향된 표면은 y-축에 실질적으로 평행하다. 예를 들어, 수평으로 배향된 표면은 x-축에 대해 -5° 내지 +5° 범위의 각도를 형성하고 수직으로 배향된 표면은 y-축에 대해 -5° 내지 +5° 범위의 각도를 형성한다. 예시를 위해, 수평으로 배향된 표면은 x-축에 평행하고 y-축에 수직이고 그리고 수직으로 배향된 표면은 y-축에 평행하고 x-축에 수직이다. 본 명세서에 기술된 바와 같은, 기울어진 표면은 수직으로 배향된 표면도 수평으로 배향된 표면도 아니다.
수평으로 배향된 내측 표면 (1608) 은 기울어진 내측 표면 (1606) 에 의해 상단 표면 (1604) 으로부터 분리된다. 예를 들어, 기울어진 내측 표면 (1606) 은 상단 표면 (1604) 에 그리고 수평으로 배향된 내측 표면 (1608) 에 인접하지만 수평으로 배향된 내측 표면 (1608) 은 상단 표면 (1604) 에 인접하지 않다.
게다가, 내측 표면 (1620) 은 수평으로 배향된 내측 표면 (1608) 에 연속적인, 수직으로 배향된 내측 표면 (1610) 을 갖는다. 예를 들어, 수직으로 배향된 내측 표면 (1610) 은 수평으로 배향된 내측 표면 (1608) 에 대해 반경 R5를 형성한다. 예시를 위해, 반경 R5를 갖는 커브가 수직으로 배향된 내측 표면 (1610) 과 수평으로 배향된 내측 표면 (1608) 사이에 형성된다. 예로서, 반경 R5는 약 0.012"이다. 예시를 위해, 반경 R5는 약 0.007" 내지 약 0.017"를 포함하는 범위이다. y-축을 따라, 수직으로 배향된 내측 표면 (1610) 거리 d3은 약 0.0169"이다. 예를 들어, 거리 d3은 약 0.0164" 내지 약 0.0174"를 포함하는 범위이다. 수직으로 배향된 표면의 거리는 수직으로 배향된 표면의 수직 방향으로 y-축을 따른 길이이다. 게다가, 수평으로 배향된 표면의 거리는 수평 방향으로 x-축을 따라 수평으로 배향된 표면의 폭이다. 게다가, 기울어진 표면의 거리는 y-축을 따라 수직 방향으로 측정된다. 수직으로 배향된 내측 표면 (1610) 은 약 11.7"인, 내경 ID1을 갖는다. 예를 들어 내경 ID1은 약 11" 내지 약 12.4"를 포함하는 범위이다.
게다가, 내측 표면 (1620) 은 수직으로 배향된 내측 표면 (1610) 및 하단 표면 (1612) 에 대해 기울어진 기울어진 내측 표면 (1618) 을 갖는다. 기울어진 내측 표면 (1618) 은 수직으로 배향된 내측 표면 (1610) 에 연속된다. 예를 들어, 기울어진 내측 표면 (1618) 은 수직으로 배향된 내측 표면 (1610) 에 대해 반경 R6을 형성한다. 예시를 위해, 반경 R6을 갖는 커브는 기울어진 내측 표면 (1618) 과 수직으로 배향된 내측 표면 (1610) 사이에 형성된다. 예로서, 반경 R6은 약 0.015"이다. 예시를 위해, 반경 R6은 약 0.0149" 내지 약 0.0151"를 포함하는 범위이다. 게다가, 기울어진 내측 표면 (1618) 은 하단 표면 (1612) 에 연속된다. 예를 들어, 기울어진 내측 표면 (1618) 은 하단 표면 (1612) 에 대해 반경 R7을 형성한다. 예시를 위해, 반경 R7을 갖는 커브는 기울어진 내측 표면 (1618) 과 하단 표면 (1612) 사이에 형성된다. 예로서, 반경 R7은 반경 R6의 약 2 배이다. 예시를 위해, 반경 R6은 약 2 X 0.0149" 내지 약 2 X 0.0151"를 포함하는 범위이다.
기울어진 내측 표면 (1618) 은 약 0.035"인, 길이 d2를 갖는다. 예를 들어, 길이 d2는 약 0.0345" 내지 약 0.0355"를 포함하는 범위이다. 기울어진 내측 표면 (1618) 은 수직으로 배향된 내측 표면 (1610) 에 대해, 약 30°인, 각도 A1을 형성한다. 예를 들어, 각도 A1은 약 28° 내지 약 32°를 포함하는 범위이다. 기울어진 내측 표면 (1606), 수평으로 배향된 내측 표면 (1608), 수직으로 배향된 내측 표면 (1610), 및 기울어진 내측 표면 (1618) 의 구성은 본 명세서에서 때때로 에지 링 (228) 의 내측 측면으로 지칭된다는 것을 주의해야 한다.
외측 표면 (1614) 은 하단 표면 (1612) 에 연속, 예컨대 인접하거나 연속된다. 예를 들어, 외측 표면 (1614) 은 하단 표면 (1612) 에 대해 반경 R2를 형성한다. 예시를 위해, 반경 R2를 갖는 커브가 외측 표면 (1614) 과 하단 표면 (1612) 사이에 형성된다. 예로서, 반경 R2는 약 0.012"이다. 예시를 위해, 반경 R2는 0.0119" 내지 0.0121"의 범위이다.
에지 링 (228) 은 에지 링 (228) 의 상단 표면 (1604) 과 외측 표면 (1614) 사이에 형성되는 커브된 에지 (1616) 를 포함한다. 예를 들어, 커브된 에지 (1616) 는 상단 표면 (1604) 및 외측 표면 (1614) 에 인접, 예컨대 옆에 있다. 커브된 에지 (1616) 는 반경 R1을 갖는다. 예로서, 반경 R1은 약 0.1"이다. 예시를 위해, 반경 R1은 0.8" 내지 0.12" 범위이다. 커브된 에지 (1616) 의 곡률은 에지 링 (228) 과 커버 링 (201) 사이에서 RF 전력의 아크 발생 기회들을 감소시킨다. 플라즈마가 플라즈마 챔버 내에서 형성되고 지속될 때 아크가 발생한다. 날카로운 에지가 아크 발생 기회들을 증가시킨다. y-축을 따라 커브된 에지 (1616) 의 높이의 수직 거리와 y-축을 따라 외측 표면 (1614) 의 길이의 합인, 거리 d1은 약 0.23"이다. 예로서, 거리 d1은 약 0.229" 내지 약 0.231"를 포함하는 범위이다. 외측 표면 (1614) 은 약 14.06"인, 외경 OD1을 갖고, 예로서, 외경 OD1은 13.5" 내지 14.5" 범위이다. 본 명세서에 기술된, 에지 링의 내경 또는 외경 또는 중간 직경이 에지 링의 중심 (centroid) 을 통과하는 중심 축에 대해 형성된다는 것을 주의해야 한다.
본 명세서에 기술된, 에지 링은 소모성이라는 것을 주의해야 한다. 예를 들어, 에지 링은 기판의 프로세싱을 위해 에지 링의 복수의 사용들 후 마모될 수 있다. 예시를 위해, 나머지 재료들은 플라즈마가 기판을 프로세싱하도록 사용된 후 출력되고, 나머지 재료들은 에지 링을 부식시킨다. 게다가, 플라즈마는 에지 링을 부식시킨다.
이에 더하여, 에지 링은 교체가능하다. 예를 들어, 에지 링의 반복된 사용 후, 에지 링이 교체된다. 예시를 위해, 에지 링은 도 1 및 도 2의 절연체 링 (106) 으로부터 릴리즈되도록 도 3a의 홀드 다운 로드들 (302A 및 302B) 을 사용하여 절연체 링 (106) (도 2) 으로부터 수직으로 푸시 업된다. 이어서 에지 링은 또 다른 에지 링으로 교체된다. 이어서 다른 에지 링이 기판 또는 또 다른 기판을 프로세싱하기 위해 홀드 다운 로드들 (302A 및 302B) 을 사용하여 절연체 링 (106) 을 향해 수직으로 풀 다운된다.
일부 실시예들에서, 에지 링 (228) 의 에지의 반경 R1 내지 반경 R7 각각은 에지를 향해 또는 에지로부터 멀어지게 RF 전력의 아크 발생 기회들을 감소시키도록 약 0.03"보다 크다. 더 예시하기 위해, 에지 링 (228) 의 에지의 반경 R1 내지 반경 R7 각각은 에지를 향해 또는 에지로부터 멀어지게 RF 전력의 아크 발생 기회들을 감소시키도록 약 0.03" 내지 약 0.1"를 포함하는 범위이다. 다양한 실시예들에서, 반경 R1 내지 반경 R7 각각은 약 0.01" 내지 약 0.03"를 포함하는 범위보다 크다는 것을 주의해야 한다. 약 0.01" 내지 약 0.03"를 포함하는 반경은 반도체 웨이퍼의 제조 동안 반경의 에지의 칩핑 기회들을 감소시킨다.
일 실시예에서, 에지 링 (228) 은 복수의 에지들을 갖는다. 일 실시예에서, 에지 링 (228) 을 규정하는 에지들은 라운딩된다. 예로서, 에지 링 (228) 의 (아래 도 9e에 도시된) 반경RA 및 반경 RC를 갖는 에지들은 약 0.03" 이상인 반경으로 라운딩된다. 이들 에지들의 보다 작은 라운딩은 플라즈마 챔버가 동작 중일 때 RF 전력의 아크 발생 기회들을 방지하거나 감소시키기 충분하지 않을 수도 있다고 판단되었다. 플라즈마 챔버 내 피처들의 보다 날카로운 에지들에 의해 영향을 받는, 아크 발생 기회들의 감소는 반도체 웨이퍼들 위 및 상에서 수행될 제조 프로세스에 유해할 수도 있다. 예를 들어, (아래 도 9e에 도시된) 반경 RA 및 반경 RC 각각이 약 0.03"보다 작은, (아래 도 9e에 도시된) 반경 RA 및 반경 RC 각각이 약 0.01" 내지 약 0.03"를 포함하는 범위인, 에지들의 약간의 라운딩은 반도체 웨이퍼들의 제조 동안 입자 생성 가능성, 또는 제조 동안 에지들의 칩핑을 감소시키는 것을 보조한다. 따라서, 플라즈마 챔버 내에 배치된 피처들의 표면들 상에서 일부 라운딩, 예를 들어, (아래 도 9e에 도시된) 반경 RA 및 반경 RC가 수행되더라도, 이 라운딩은 플라즈마 프로세싱 동작들에서 사용될 상승된 전력 레벨들의 관점에서 아크 발생을 방지하거나 감소시키기 위한 것보다 작다.
도 8d는 패스너 (122) 의 에지 링 (228) 으로의 커플링을 예시하기 위한 시스템 (1650) 의 일 실시예의 도면이다. 에지 링 (228) 의 단면도는 도 8a에 예시된 단면 a-a를 따라 취해진다. 에지 링 (228) 의 하단 표면 (1612) 내에 슬롯 (125) 이 드릴링된다. 슬롯 (125) 으로 드릴링에 더하여, 쓰레드 (1652) 가 슬롯 (125) 의 측 표면 SS 상에 형성된다. 측 표면 SS는 슬롯 (125) 의 상단 표면 TS에 대해, 실질적으로 수직, 예컨대 수직이거나 85° 내지 95° 범위이다. 슬롯 (125) 은 패스너 홀 (124A) 을 둘러싼다.
패스너 (122) 는 패스너 (122) 의 팁에 형성된 쓰레드 (1654) 를 갖는다. 게다가, 패스너 (122) 는 쓰레드 (1654) 아래에 있는, 바디 (1658) 를 갖는다. 패스너 (122) 의 헤드 (1660) 가 바디 (1658) 아래에 위치된다.
패스너 (122) 는 패스너 홀 (124A) 내로 삽입되고 지지 링 (112) (도 1) 을 에지 링 (228) 과 연결하기 위해 쓰레드 (1654) 를 쓰레드 (1652) 에 인게이지하도록 시계 방향으로 돌아 들어간다. 유사하게, 부가적인 패스너들, 예컨대 패스너 (122) 가 지지 링 (112) 을 에지 링 (228) 과 연결하도록 복수의 패스너 홀들 (124B 및 124C) 내로 삽입된다. 복수의 패스너 홀들 (124B 및 124C) 은 에지 링 (228) 의 하단 표면 (1612) 내 각각의 슬롯들에 형성된다. 예를 들어, 패스너 홀들 (124A 내지 124C) 은 에지 링 (228) 의 하단 표면 (1612) 의 수평면 내 정삼각형의 정점을 형성한다. 4 개 이상의 패스너 홀들이 하단 표면 (1612) 내에 형성되는 경우, 패스너 홀들은 실질적으로 동일한, 예컨대 동일한 거리들에 위치된다. 예를 들어, 하단 표면 (1612) 내 2 개의 인접한 패스너 홀들의 세트 사이 거리는 하단 표면 (1612) 내 2 개의 인접한 패스너 홀들의 또 다른 세트 사이의 거리와 동일하다. 2 개의 패스너 홀들의 세트는 세트의 패스너 홀들 중 적어도 하나가 다른 세트의 패스너 홀들 중 하나와 동일하지 않을 때 또 다른 세트와 상이하다. 예시를 위해, 패스너 홀들의 2 개의 상이한 세트들이 적어도 하나의 비공통 패스너 홀을 갖는다. 또 다른 예로서, 세트의 2 개의 인접한 패스너 홀들 사이의 거리는 하단 표면 (1612) 내 다른 세트의 2 개의 인접한 패스너 홀들 사이의 거리로부터 미리 결정된 한계 내이다. 지지 링 (112) 이 에지 링 (228) 과 연결되고 에지 링 (228) 또는 지지 링 (112) 이 이동될 때, 에지 링 (228) 및 지지 링 (112) 은 y-축을 따라 수직 방향으로 또는 x-축을 따라 수평 방향으로 동시에 이동한다.
도 9a는 커버 링 (202) 의 일 실시예의 등측도이다. 커버 링 (202) 은, 일부 실시예들에서 도 2의 커버 링 (201) 대신 사용된다. 커버 링 (202) 은 상단 표면 (1704) 을 갖는다. 본 명세서에 기술된, 커버 링은 소모성일 수도 있다는 것을 주의해야 한다. 예를 들어, 커버 링이 기판의 프로세싱 동안 커버 링의 복수의 사용들 후 마모될 수 있다. 예시를 위해, 플라즈마에 의한 기판 (1508) 의 프로세싱 결과로서, 나머지 재료들이 생성되고 나머지 재료들은 커버 링을 부식시킨다. 게다가, 플라즈막 커버 링을 부식시킨다.
이에 더하여, 커버 링은 교체가능하다. 예를 들어, 커버 링의 반복된 사용들 후, 커버 링이 교체된다. 예시를 위해, 커버 링은 또 다른 커버 링으로 교체를 위해 플라즈마 챔버로부터 제거된다.
도 9b는 커버 링 (202) 의 일 실시예의 하면도이다. 커버 링은 하단 표면 (1705) 을 갖는다.
도 9c는 커버 링 (202) 의 일 실시예의 상면도이다. 커버 링 (202) 은 내경 ID2 및 폭 W1을 갖는다. 내경 ID2는 커버 링 (202) 의 내측 주변 에지의 직경이다. 폭 W1은 커버 링 (202) 의 내경 ID2과 외경 사이의 커버 링 (202) 의 환형 바디의 폭이다.
도 9d는 도 9c의 단면 A-A를 따라 취해진 커버 링 (202) 의 일 실시예의 단면도이다. 커버 링 (202) 은 수직으로 배향된 내측 표면 (1724), 또 다른 수직으로 배향된 내측 표면 (1720), 수직으로 배향된 외측 표면 (1716), 또 다른 수직으로 배향된 외측 표면 (1714), 및 수직으로 배향된 외측 표면 (1710) 을 갖는다. 수직으로 배향된 내측 표면 (1724) 의 직경은 ID2이다. 직경 ID2는 약 13.615"이다. 예를 들어, 직경 ID2는 약 13.4" 내지 약 13.8"를 포함하는 범위이다. 게다가, 수직으로 배향된 내측 표면 (1720) 의 직경은 D1이다. 직경 D1은 약 13.91"이다. 예를 들어, 직경 D1은 약 13.8" 내지 약 14"를 포함하는 범위이다. 부가적으로, 수직으로 배향된 외측 표면 (1716) 의 직경은 D2이다. 직경 D2는 약 14.21"이다. 예를 들어, 직경 D2는 약 14" 내지 약 14.5"를 포함하는 범위이다. 수직으로 배향된 외측 표면 (1714) 의 직경은 D3이고 수직으로 배향된 외측 표면 (1710) 의 직경은 OD2이다. 직경 D3은 약 14.38"이다. 예를 들어, 직경 D3은 약 14.2" 내지 약 14.5"를 포함하는 범위이다. 외경 OD2는 약 14.7"이다. 예를 들어, 외경 OD2는 약 14" 내지 약 15"를 포함하는 범위이다.
직경 D1은 직경 ID2보다 크다. 게다가, 직경 D2는 직경 D1보다 크고 직경 D3은 직경 D2보다 크다. 외경 OD2는 직경 D3보다 크다.
도 9e는 커버 링 (202) 의 일 실시예의 단면도이다. 커버 링 (202) 은 상부 바디 부분 (1730), 중간 바디 부분 (1732), 및 하부 바디 부분 (1734) 을 포함한다. 상부 바디 부분 (1730) 은 수직으로 배향된 외측 표면 (1710), 수평으로 배향된 외측 표면 (1712), 또 다른 수평으로 배향된 내측 표면 (1722), 수직으로 배향된 내측 표면 (1724), 및 수평으로 배향된 상단 표면 (1704) 을 갖는다. 커브된 에지 (1706) 는 수직으로 배향된 외측 표면 (1710) 과 상단 표면 (1704) 사이에 형성된다. 커브된 에지 (1706) 는 약 0.06"인, 반경 RC를 갖는다. 예를 들어 반경 RC는 약 0.059" 내지 약 0.061"를 포함하는 범위이다. 커브된 에지 (1706) 는 상단 표면 (1704) 및 수직으로 배향된 외측 표면 (1710) 에 연속적, 예컨대 연속이거나 인접하다.
수직으로 배향된 외측 표면 (1710) 은 수평으로 배향된 외측 표면 (1712) 에 연속이다. 예를 들어, 반경 RD를 갖는 커브가 수직으로 배향된 외측 표면 (1710) 과 수평으로 배향된 외측 표면 (1712) 사이에 형성된다. 반경 RD는 약 0.015"이다. 예를 들어, 반경 RD는 약 0.0145" 내지 약 0.0155"를 포함하는 범위이다.
게다가, 수평으로 배향된 내측 표면 (1722) 은 수직으로 배향된 내측 표면 (1724) 에 연속이다. 예를 들어, 반경 RK를 갖는 커브가 수직으로 배향된 내측 표면 (1724) 과 수평으로 배향된 내측 표면 (1722) 사이에 형성된다. 예시를 위해, 반경 RK는 약 0.015"이다. 예로서, 반경 RK는 약 0.0145" 내지 약 0.0155"를 포함하는 범위이다.
부가적으로, 상단 표면 (1704) 은 수직으로 배향된 내측 표면 (1724) 에 연속이다. 예를 들어, 반경 RA를 갖는 커브가 수직으로 배향된 내측 표면 (1724) 과 상단 표면 (1704) 사이에 형성된다. 예시를 위해, 반경 RA는 약 0.015"이다. 예로서, 반경 RA는 약 0.0145" 내지 약 0.0155"를 포함하는 범위이다. 반경 RA는 커버 링 (202) 과 에지 링 (228) 사이의 RF 전력의 아크 발생 기회들을 감소시킨다. 아크는 플라즈마가 플라즈마 챔버 내에 형성되는 시간 동안 발생한다.
중간 바디 부분 (1732) 은 수직으로 배향된 내측 표면 (1720), 수직으로 배향된 외측 표면 (1714), 및 수평으로 배향된 외측 표면 (1719) 을 포함한다. 수직으로 배향된 외측 표면 (1714) 은 상부 바디 부분 (1730) 의 수평으로 배향된 외측 표면 (1712) 에 연속이다. 예를 들어, 반경 RE를 갖는 커브가 수직으로 배향된 외측 표면 (1714) 과 수평으로 배향된 외측 표면 (1712) 사이에 형성된다. 예로서, 반경 RE는 약 0.03"이다. 예시를 위해, 반경 RE는 약 0.029" 내지 약 0.31"를 포함하는 범위이다.
게다가, 수직으로 배향된 내측 표면 (1720) 은 상부 바디 부분 (1730) 의 수평으로 배향된 내측 표면 (1722) 에 연속이다. 예를 들어, 반경 RB를 갖는 커브가 수평으로 배향된 내측 표면 (1722) 과 수직으로 배향된 내측 표면 (1720) 사이에 형성된다. 예로서, 반경 RB는 약 0.01"이다. 예시를 위해, 반경 RB는 약 0.09" 내지 약 0.011"를 포함하는 범위이다.
수평으로 배향된 외측 표면 (1719) 은 수직으로 배향된 외측 표면 (1714) 에 연속이다. 예를 들어, 반경 RF를 갖는 커브가 수평으로 배향된 외측 표면 (1719) 과 수직으로 배향된 외측 표면 (1714) 사이에 형성된다. 예로서, 반경 RF는 약 0.015"이다. 예시를 위해, 반경 RF는 약 0.0145" 내지 약 0.0155"를 포함하는 범위이다.
하부 바디 부분 (1734) 은 수직으로 배향된 내측 표면 (1736), 하단 표면 (1718), 및 상기 수직으로 배향된 외측 표면 (1716) 을 포함한다. 수직으로 배향된 내측 표면 (1736) 은 중간 바디 부분 (1732) 의 수직으로 배향된 내측 표면 (1720) 에 연속이다. 예를 들어, 수직으로 배향된 내측 표면들 (1720 및 1736) 은 일 표면으로 통합되고 동일한 직경 D1 (도 9d) 을 갖는다. 수직으로 배향된 내측 표면 (1736) 은 하단 표면 (1718) 에 연속이다. 예를 들어, 반경 RJ를 갖는 커브가 수직으로 배향된 내측 표면 (1736) 과 하단 표면 (1718) 사이에 형성된다. 예로서, 반경 RJ는 약 0.02"이다. 예시를 위해, 반경 RJ는 약 0.019" 내지 약 0.021"를 포함하는 범위이다.
수직으로 배향된 외측 표면 (1716) 은 하단 표면 (1718) 에 연속이다. 예를 들어, 반경 RH를 갖는 커브가 하단 표면 (1718) 과 수직으로 배향된 외측 표면 (1716) 사이에 형성된다. 예로서, 반경 RH는 약 0.02"이다. 예시를 위해, 반경 RH는 약 0.019" 내지 약 0.021"를 포함하는 범위이다.
수직으로 배향된 외측 표면 (1716) 은 중간 바디 부분 (1732) 의 수평으로 배향된 외측 표면 (1719) 에 연속이다. 예를 들어, 반경 RG를 갖는 커브가 수직으로 배향된 외측 표면 (1716) 과 수평으로 배향된 외측 표면 (1719) 사이에 형성된다. 예로서, 반경 RG는 약 0.01"이다. 예시를 위해, 반경 RG는 약 0.09" 내지 약 0.011"를 포함하는 범위이다.
수직 거리 dB, 예컨대 y-축을 따른 거리가 수평으로 배향된 내측 표면 (1722) 과 상단 표면 (1704) 사이에 형성된다. 예로서, 수직 거리 dB는 약 0.27"이다. 예시를 위해, 수직 거리 dB는 약 0.25" 내지 약 0.29"를 포함하는 범위이다.
게다가, 수직 거리 dA가 수평으로 배향된 외측 표면 (1712) 과 수평으로 배향된 내측 표면 (1722) 사이에 형성된다. 예로서, 거리 dA는 약 0.011"이다. 예시를 위해, 거리 dA는 약 0.009" 내지 약 0.013"를 포함하는 범위이다.
부가적으로, 수평으로 배향된 내측 표면 (1722) 과 수평으로 배향된 외측 표면 (1719) 사이의 수직 거리는 dD이다. 예로서, 거리 dD는 약 0.172"이다. 예시를 위해, 거리 dD는 약 0.17" 내지 약 0.174"를 포함하는 범위이다.
또한, 수평으로 배향된 내측 표면 (1722) 과 수평으로 배향된 하단 표면 (1718) 사이의 수직 거리는 dC로 표현된다. 예로서, 거리 dC는 약 0.267"이다. 예시를 위해, 거리 dC는 약 0.265" 내지 약 0.269"를 포함하는 범위이다.
커버 링 (202) 의 하단 표면 (1705) 은 수평으로 배향된 내측 표면 (1722), 수직으로 배향된 내측 표면들 (1720 및 1736), 하단 표면 (1718), 수직으로 배향된 외측 표면 (1716), 수평으로 배향된 외측 표면 (1719), 수직으로 배향된 외측 표면 (1714), 및 수평으로 배향된 외측 표면 (1712) 을 포함하는 것을 주의해야 한다.
커버 링 (202) 의 모든 에지들은 아치형, 예컨대 커브된다는 것을 더 주의해야 한다. 예를 들어, 반경들 RA, RB, RC, RD, RE, RF, RG, RH, RJ, 및 RK는 아치형인 에지들을 규정한다.
도 9f는 에지 링 (228), 커버 링 (202), 베이스 링 (210), 및 접지 링 (212) 을 포함하는 시스템의 일 실시예의 단면도이다. 수직으로 배향된 내측 표면 (1724) 으로부터 수직으로 배향된 내측 표면 (1720) 으로의 방향의 변화를 포함하는 단차형 감소 (1726) 가 형성된다. 단차형 감소 (1726) 는 x-축을 따라 +x 또는 x 방향과 같은, 수평 방향이다. 단차형 감소 (1726) 는 상부 바디 부분 (1730) 과 중간 바디 부분 (1732) 사이이다. 단차형 감소 (1726) 는 에지 링 (228) 으로부터 멀어지는 +x 방향이다.
게다가, 수직으로 배향된 외측 표면 (1710) 으로부터 수직으로 배향된 외측 표면 (1714) 으로 발생하는 또 다른 단차형 감소 (1729) 가 형성된다. 다시, 단차형 감소 (1729) 는, 단차형 감소 (1729) 가 단차형 감소 (1726) 의 방향과 반대 방향인 것을 제외하고, x-축의 -x 방향과 같이, 수평 방향이다. 단차형 감소 (1729) 는 에지 링 (228) 을 향한 방향이다.
중간 바디 부분 (1732) 의 수평으로 배향된 내측 표면 (1722) 으로부터 수평으로 배향된 외측 표면 (1719) 으로의 거리인 깊이 (1762) 가 형성된다. 본 명세서에서 사용될 때, 깊이는 y-축의 -y 방향과 같은 방향이다.
이에 더하여, 또 다른 단차형 감소 (1728) 가 수직으로 배향된 외측 표면 (1714) 으로부터 수직으로 배향된 외측 표면 (1716) 으로 형성된다. 단차형 감소 (1728) 는 -x 방향이다.
또 다른 깊이 (1764) 가 하부 바디 부분 (1734) 의 수평으로 배향된 외측 표면 (1719) 과 하단 표면 (1718) 사이에 형성된다. 깊이 (1764) 는 하부 바디 부분 (1734) 의 깊이이다. 깊이 (1764) 가 깊이 (1762) 보다 작다는 것을 주의해야 한다. 게다가, 수직으로 배향된 내측 표면 (1724) 의 깊이가 깊이 (1762) 보다 크다.
환형 폭 (1746) 이 수직으로 배향된 내측 표면 (1720) 과 수직으로 배향된 외측 표면 (1714) 사이에 생성된다. 본 명세서에서 사용될 때, 환형 폭은 x-축을 따른다. 게다가, 또다른 환형 폭 (1754) 이 수직으로 배향된 내측 표면 (1736) 과 수직으로 배향된 외측 표면 (1716) 사이에 생성된다. 환형 폭 (1754) 은 환형 폭 (1746) 보다 작다.
트래킹가능 거리 (1735) 가 에지 링 (228) 과 접지 링 (212) 사이에 있다. 트래킹가능 거리 (1735) 는 수평으로 배향된 내측 표면 (1722) 의 폭 L11을 따르고, 수직으로 배향된 내측 표면들 (1720 및 1736) 의 길이 L12, 하단 표면 (1718) 의 폭 L13, 수직으로 배향된 외측 표면 (1716) 의 길이 L14, 및 수평으로 배향된 외측 표면 (1719) 의 폭 L15와 결합된다. 결합된 길이 L12는 수직으로 배향된 내측 표면 (1720) 의 길이와 수직으로 배향된 내측 표면 (1736) 의 길이의 합이다. 트래킹가능 거리 (1735) 는 RF 전력 핀 (208) 으로부터 수신된 전압이 커버 링 (202) 을 따라 소산되는 경로이다. 에지 링 (228) 은 커패시터의 커패시터 플레이트로서 작용하고, 전극 EL (도 2) 은 2 개의 커패시터 플레이트들 사이에 지지 링 (112) 의 유전체 재료를 갖는 커패시터의 또 다른 커패시터 플레이트로서 작용한다. 거리 1은 RF 전력 핀 (208) 에 의해 제공된 전압이 소산하는, 에지 링 (228) 과 접지 링 (212) 사이의, x-축을 따라 수평 거리이다.
트래킹가능 거리 (1735) 또는 거리 1은 커버 링 (202) 의 환형 폭을 규정한다. 게다가, 트래킹가능 거리 (1735) 또는 거리 1을 따른 전압 소산은 커버 링 (202) 의 환형 폭을 규정한다. 커버 링 (202) 의 환형 폭은 커버 링 (202) 의 내경 ID2와 커버 링 (202) 의 외경 OD2 사이의 차 (difference) 이다. 커버 링 (202) 의 환형 폭은 스탠드-오프 전압의 미리 결정된 양이 수직으로 배향된 내측 표면 (1724) 에서 달성되도록 규정된다. 예를 들어, 7 내지 10 V가 커버 링 (202) 의 0.001"를 따라 소산되고 수직으로 배향된 내측 표면 (1724) 에서 스탠드-오프 전압이 5000 V이라고 주어지면, 커버 링 (202) 의 환형 폭은 복수, 예컨대 2 또는 3 의 5000 V와 커버 링 (202) 의 0.001" 당 7 내지 10 V 소산의 비와 동일하다.
일부 실시예들에서, 깊이 (1764) 는 깊이 (1762) 보다 크다. 게다가, 다양한 실시예들에서, 수직으로 배향된 내측 표면 (1724) 의 깊이는 깊이 (1762) 보다 작다.
도 9g는 에지 링 (108), 커버 링 (118), 베이스 링 (116), 및 접지 링 (114) 을 포함하는 시스템의 일 실시예의 단면도이다. 커버 링 (118) 은 상부 바디 부분 (1761), 중간 바디 부분 (1763), 및 하부 바디 부분 (1765) 을 포함한다.
상부 바디 부분 (1761) 은 수직으로 배향된 내측 표면 (1782), 수평으로 배향된 상단 표면 (1766), 수직으로 배향된 외측 표면 (1768), 및 수평으로 배향된 외측 표면 (1770) 을 포함한다. 수직으로 배향된 외측 표면 (1768) 은 상단 표면 (1766) 에 연속이고 수평으로 배향된 외측 표면 (1770) 은 수직으로 배향된 외측 표면 (1768) 에 연속이다. 예를 들어, 반경을 갖는 커브가 수직으로 배향된 외측 표면 (1768) 과 상단 표면 (1766) 사이에 형성되고, 반경을 갖는 커브가 수평으로 배향된 외측 표면 (1770) 과 수직으로 배향된 외측 표면 (1768) 사이에 형성된다. 게다가, 수직으로 배향된 내측 표면 (1782) 은 상단 표면 (1766) 에 연속이다. 예를 들어, 반경을 갖는 커브가 수직으로 배향된 내측 표면 (1782) 과 상단 표면 (1766) 사이에 형성된다.
중간 바디 부분 (1763) 은 수직으로 배향된 외측 표면 (1772), 수직으로 배향된 내측 표면 (1780), 및 수평으로 배향된 내측 표면 (1781) 을 포함한다. 수직으로 배향된 외측 표면 (1772) 은 상부 바디 부분 (1761) 의 수평으로 배향된 외측 표면 (1770) 에 연속이다. 예를 들어, 반경을 갖는 커브가 수직으로 배향된 외측 표면 (1772) 과 수평으로 배향된 외측 표면 (1770) 사이에 형성된다.
게다가, 수직으로 배향된 내측 표면 (1780) 은 수직으로 배향된 내측 표면 (1782) 에 연속, 예컨대 인접하다. 예시를 위해, 수직으로 배향된 내측 표면 (1782) 은 수직으로 배향된 내측 표면 (1780) 과 동일한 수직 평면에 놓인다.
수평으로 배향된 내측 표면 (1781) 은 수직으로 배향된 내측 표면 (1780) 에 연속이다. 예를 들어, 반경을 갖는 커브가 수평으로 배향된 내측 표면 (1781) 과 수직으로 배향된 내측 표면 (1780) 사이에 형성된다.
하부 바디 부분 (1765) 은 수직으로 배향된 외측 표면 (1774), 수평으로 배향된 하단 표면 (1776) 및 수직으로 배향된 내측 표면 (1778) 을 포함한다. 수직으로 배향된 내측 표면 (1778) 은 중간 바디 부분 (1763) 의 수평으로 배향된 내측 표면 (1781) 에 연속이다. 예를 들어, 반경을 갖는 커브가 수직으로 배향된 내측 표면 (1778) 과 수평으로 배향된 내측 표면 (1781) 사이에 형성된다.
게다가, 하단 표면 (1776) 은 수직으로 배향된 내측 표면 (1778) 에 연속이다. 예를 들어, 반경을 갖는 커브가 하단 표면 (1776) 과 수직으로 배향된 내측 표면 (1778) 사이에 형성된다.
또한, 하단 표면 (1776) 은 수직으로 배향된 외측 표면 (1774) 에 연속이다. 예를 들어, 반경을 갖는 커브가 하단 표면 (1776) 과 수직으로 배향된 외측 표면 (1774) 사이에 형성된다. 수직으로 배향된 외측 표면 (1774) 은 중간 바디 부분 (1763) 의 수직으로 배향된 외측 표면 (1772) 과 동일한 수직 평면에 놓인다.
단차형 감소 (1783) 가 상부 바디 부분 (1761) 의 수직으로 배향된 외측 표면 (1768) 과 중간 바디 부분 (1763) 의 수직으로 배향된 외측 표면 (1772) 사이에 형성된다. 단차형 감소 (1783) 는 에지 링 (108) 을 향한 -x 방향이다.
게다가, 단차형 감소 (1784) 가 중간 바디 부분 (1763) 의 수직으로 배향된 내측 표면 (1780) 으로부터 중간 바디 부분 (1763) 의 수평으로 배향된 내측 표면 (1781) 으로 형성된다. 수직으로 배향된 내측 표면 (1780) 으로부터 단차형 감소 (1784) 는 에지 링 (108) 으로부터 멀어지는, x-축의 +x 방향으로 발생한다.
환형 폭 (1786) 이 중간 바디 부분 (1763) 의 수직으로 배향된 내측 표면 (1780) 과 수직으로 배향된 외측 표면 (1772) 사이에 형성된다. 환형 폭 (1786) 은 x-축을 따른다. 게다가, 또 다른 환형 폭 (1788) 이 하부 바디 부분 (1765) 의 수직으로 배향된 내측 표면 (1778) 과 수직으로 배향된 외측 표면 (1774) 사이에 형성된다. 환형 폭 (1788) 은 x-축을 따른다. 환형 폭 (1788) 은 환형 폭 (1786) 보다 작다.
중간 바디 부분 (1763) 의 y-축을 따른 깊이 (1790) 는 수평으로 배향된 외측 표면 (1770) 으로부터 수평으로 배향된 내측 표면 (1781) 으로 형성된다. 게다가, 하부 바디 부분 (1765) 의 y-축을 따른 또 다른 깊이 (1792) 가 수평으로 배향된 내측 표면 (1781) 으로부터 하단 표면 (1776) 으로 형성된다. 깊이 (1792) 는 깊이 (1790) 보다 작다.
커버 링 (118) 의 하단 표면은 표면들 (1781, 1778, 1776, 1774, 1772, 및 1770) 을 포함하는 것을 주의해야 한다.
트래킹가능 거리 (1794) 가 에지 링 (108) 과 접지 링 (114) 사이에 형성된다. 트래킹가능 거리 (1794) 는 수직으로 배향된 내측 표면 (1780) 의 y-축을 따른, 깊이 L21을 따라, 수평으로 배향된 내측 표면 (1781) 의 x-축을 따른 폭 L22, 수직으로 배향된 내측 표면 (1778) 의 깊이 L23, 및 하단 표면 (1776) 의 폭 L24이다. 깊이 L23은 깊이 (1792) 와 동일하다. 트래킹가능 거리 (1794) 는 이를 따라 지지 링 (112) 을 통해 에지 링 (108) 에 의해 수신된 전압이 접지 링 (114) 에 도달하는 경로이다. 에지 링 (108) 은 커패시터의 커패시터 플레이트로서 작용하고 전극 EL (도 2) 은 2 개의 커패시터 플레이트들 사이에 지지 링 (112) 의 유전체 재료를 갖는 커패시터의 또 다른 커패시터 플레이트로서 작용한다.
x-축을 따른, 거리 2는 에지 링 (108) 과 접지 링 (114) 사이에 형성된다. 거리 2는 도 9f의 거리 1보다 작다. 도 9f의 에지 링 (228) 의 외경이 에지 링 (108) 의 외경보다 작기 때문에, 도 9f의 커버 링 (202) 의 상부 바디 부분 (1730) 은 커버 링 (118) 의 상부 바디 부분 (1761) 보다 큰 폭을 갖는다. 상부 바디 부분 (1761) 의 폭과 비교하여 상부 바디 부분 (1730) 의 폭의 증가가 거리 2와 비교하여 거리 1을 증가시킨다. 보다 큰 거리 1은 트래킹가능 거리 (213 (도 2) 또는 1735 (도 9f)) 를 통해 접지 링 (212) 으로 가로지르도록 전력 핀 (208) (도 2) 에 의해 공급되는 RF 신호의 RF 전압에 대해 미리 결정된 양의 거리를 제공하기 위해 에지 링 (108) 과 비교하여 에지 링 (228) 의 감소된 폭을 보상한다. 예를 들어, 커버 링의 0.001" 당 약 7 내지 약 10 V의 손실이 있다. 5000 V의 미리 결정된 스탠드-오프 전압이 커버 링의 상부 바디 부분의 수직으로 배향된 내측 표면에서 달성되게 되면, 커버 링의 상부 바디 부분의 환형 폭이 (양의 실수 (positive real number) X 5000)/(커버 링의 0.001" 당 V의 손실) 이도록 계산되고, "양의 실수"는 복수, 예컨대 2 또는 3 또는 4이다.
일부 실시예들에서, 깊이 (1792) 는 깊이 (1790) 보다 크다.
본 명세서에 기술된 실시예들은 휴대용 하드웨어 유닛들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그래밍가능 가전들, 미니컴퓨터들, 메인프레임 컴퓨터들 등을 포함하는, 다양한 컴퓨터 시스템 구성들로 실시될 수도 있다. 실시예들은 또한 네트워크를 통해 링크된 리모트 프로세싱 하드웨어 유닛들에 의해 수행되는 분산 컴퓨팅 환경들에서 실시될 수 있다.
일부 실시예들에서, 본 명세서에 기술된 제어기는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 이들의 동작을 제어하기 위한 전자장치에 통합된다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세스 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 시스템에 커플링되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램된다.
일반적으로 말하면, 다양한 실시예들에서, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC들로서 규정되는 칩들, PLD들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정한 프로세스를 실행하기 위한 파라미터들, 인자들, 변수들, 등을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들이다. 일부 실시예들에서, 프로그램 인스트럭션들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부이다.
제어기는 일부 실시예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합되는 컴퓨터에 커플링되거나 이의 일부이다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 하는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하기 위해 시스템으로의 원격 액세스를 인에이블하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세스 또는 새로운 프로세스를 따르는 프로세싱 단계들을 설정하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사한다.
일부 실시예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함하는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공한다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함한다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들, 인자들, 및/또는 변수들을 명시하는 데이터의 형태로 인스트럭션들을 수신한다. 이 파라미터들, 인자들, 및/또는 변수들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적이라는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예컨대 서로 네트워킹되어서 함께 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통 목적을 위해서 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산된다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상에서 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들을 포함한다.
비한정적으로, 다양한 실시예들에서, 방법들이 적용되는 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, PECVD (plasma-enhanced chemical vapor deposition) 챔버 또는 모듈, 세정 타입 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관되는 임의의 다른 반도체 프로세싱 시스템들을 포함한다.
일부 실시예들에서, 상기 기술된 동작들은 몇몇 타입들의 플라즈마 챔버들, 예를 들어, ICP (inductively coupled plasma) 반응기, TCP (transformer coupled plasma) 반응기, 도전체 툴들, 유전체 툴들, ECR (electron cyclotron resonance) 반응기를 포함하는 플라즈마 챔버, 등을 포함하는 플라즈마 챔버에 적용된다는 것을 더 주의한다. 예를 들어, 하나 이상의 RF 생성기들은 ICP 반응기 내의 인덕터에 커플링된다. 인덕터의 형상의 예들은 솔레노이드, 돔-형상 코일, 평면-형상 (flat-shaped) 코일, 등을 포함한다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 호스트 컴퓨터는 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신한다.
상기 실시예들을 유념하여, 실시예들 중 일부는 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 채용한다는 것이 이해되어야 한다. 이들 동작들은 물리량들을 물리적으로 조작하는 것이다. 실시예들의 일부를 형성하는 본 명세서에 기술된 임의의 동작들은 유용한 머신 동작들이다.
실시예들 중 일부는 또한 이들 동작들을 수행하기 위한 하드웨어 유닛 또는 장치와 관련된다. 장치는 특수 목적 컴퓨터로 특별히 구성된다. 특수 목적 컴퓨터로 규정될 때, 컴퓨터는 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행하지만, 여전히 특수 목적을 위해 동작할 수 있다.
일부 실시예들에서, 동작들은 선택적으로 활성화된 컴퓨터에 의해 프로세싱되거나, 컴퓨터 메모리, 캐시에 저장되거나, 컴퓨터 네트워크를 통해 획득된 하나 이상의 컴퓨터 프로그램들에 의해 구성될 수도 있다. 데이터가 컴퓨터 네트워크를 통해 획득될 때, 데이터는 컴퓨터 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨팅 리소스들의 클라우드에 의해 프로세싱될 수도 있다.
하나 이상의 실시예들은 또한 비일시적 컴퓨터-판독가능 매체 상의 컴퓨터-판독가능한 코드로서 제조될 수 있다. 비일시적 컴퓨터-판독가능 매체는, 나중에 컴퓨터 시스템에 의해 판독되는 데이터를 저장하는 임의의 하드웨어 저장 하드웨어 유닛, 예를 들어, 메모리 디바이스, 등이다. 비일시적 컴퓨터-판독가능 매체의 예들은 하드 드라이브들, NAS (network attached storage), ROM, RAM, CD-ROMs (compact disc-ROMs), CD-Rs (CD-recordables), CD-RWs (CD-rewritables), 자기 테이프들 및 다른 광학 데이터 저장 하드웨어 유닛 및 비광학 데이터 저장 하드웨어 유닛을 포함한다. 일부 실시예들에서, 비일시적 컴퓨터-판독가능 매체는 컴퓨터-판독가능 코드가 분산된 방식으로 저장 및 실행되도록 네트워크-커플링된 컴퓨터 시스템을 통해 분산된 컴퓨터-판독가능 유형의 매체를 포함한다.
상기 방법 동작들이 특정한 순서로 기술되었지만, 다양한 실시예들에서, 다른 하우스키핑 동작들이 동작들 사이에서 수행되거나, 방법 동작들은 약간 상이한 시간들에 발생하도록 조정되거나, 다양한 인터벌들로 방법 동작들의 발생을 허용하는 시스템 내에 분산되거나, 상기 기술된 것과 상이한 순서로 수행된다는 것이 이해되어야 한다.
일 실시예에서, 상기 기술된 임의의 실시예로부터 하나 이상의 피처들은 본 개시에 기술된 다양한 실시예들에서 기술된 범위로부터 벗어나지 않고 임의의 다른 실시예의 하나 이상의 피처들과 조합된다는 것을 또한 주의해야 한다.
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들은 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 이에 따라, 제시된 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 주어진 상세들로 제한되지 않는다.

Claims (20)

  1. 플라즈마 프로세싱 챔버의 기판 지지부를 둘러싸도록 구성된 환형 바디를 갖는 상기 플라즈마 프로세싱 챔버에서 사용하기 위한 에지 링에 있어서,
    하단 측면, 상단 측면, 내측 측면, 및 외측 측면을 갖는 환형 바디; 및
    상기 하단 측면을 따라 상기 환형 바디 내에 제조된 복수의 패스너 홀들로서, 상기 복수의 패스너 홀들 각각은 상기 하단 측면의 수평으로 배향된 표면으로부터 상기 상단 측면을 향해 연장하고, 상기 수평으로 배향된 표면은 상기 내측 측면의 수직으로 배향된 내측 표면으로부터 상기 복수의 패스너 홀들로 연장하고, 상기 복수의 패스너 홀들 각각은 상기 환형 바디를 지지 링에 부착하기 위해 사용된 복수의 패스너들 중 각각의 패스너를 수용하기 위해 상기 하단 측면 내에 형성된 쓰레드된 내측 표면을 갖는, 상기 복수의 패스너 홀들을 포함하는, 에지 링.
  2. 제 1 항에 있어서,
    상기 하단 측면은 상기 수직으로 배향된 내측 표면과 상기 수평으로 배향된 표면 사이에 커브된 에지를 갖고, 상기 커브된 에지는 날카로움이 결여되고, 상기 커브된 에지는 상기 커브된 에지에서 상기 에지 링의 칩핑 기회들을 감소시키는, 에지 링.
  3. 제 1 항에 있어서,
    상기 복수의 패스너 홀들 중 임의의 2 개의 인접한 패스너 홀들 사이의 거리는 동일한, 에지 링.
  4. 제 1 항에 있어서,
    상기 쓰레드된 내측 표면은 상기 복수의 패스너들 중 각각의 패스너의 쓰레드된 표면과 인게이지하도록 구성되는, 에지 링.
  5. 제 1 항에 있어서,
    상기 복수의 패스너 홀들은 상기 환형 바디를 통해 연장하지 않는, 에지 링.
  6. 제 1 항에 있어서,
    상기 쓰레드된 내측 표면은 복수의 나선형 쓰레드들을 형성하도록 상기 하단 측면 내로 드릴링되는, 에지 링.
  7. 제 1 항에 있어서,
    상기 복수의 패스너들 중 각각의 패스너는 쓰레드된 부분, 바디, 및 헤드를 갖고, 상기 환형 바디는 상기 쓰레드된 부분과 피팅하도록 구성되고, 그리고 상기 헤드 및 상기 바디는 상기 지지 링과 피팅하도록 구성되는, 에지 링.
  8. 제 1 항에 있어서,
    상기 복수의 패스너 홀들 각각의 상단 표면과 상기 복수의 패스너들 각각의 상단 표면 사이에 갭이 형성되는, 에지 링.
  9. 제 8 항에 있어서,
    상기 갭은 상기 갭 내에서 아크 발생 기회들을 감소시키도록 최대 1 ㎜인, 에지 링.
  10. 지지 링;
    상기 지지 링 위에 위치된 에지 링; 및
    상기 에지 링 및 상기 지지 링 옆에 위치된 척을 포함하고,
    상기 에지 링은,
    하단 측면, 상단 측면, 내측 측면, 및 외측 측면을 갖는 환형 바디; 및
    상기 하단 측면을 따라 상기 환형 바디 내에 제조된 복수의 패스너 홀들로서, 상기 복수의 패스너 홀들 각각은 상기 하단 측면의 수평으로 배향된 표면으로부터 상기 상단 측면을 향해 연장하고, 상기 수평으로 배향된 표면은 상기 내측 측면의 수직으로 배향된 내측 표면으로부터 상기 복수의 패스너 홀들로 연장하고, 상기 복수의 패스너 홀들 각각은 상기 환형 바디를 상기 지지 링에 부착하기 위해 사용된 복수의 패스너들 중 각각의 패스너를 수용하기 위해 상기 하단 측면 내에 형성된 쓰레드된 내측 표면을 갖는, 상기 복수의 패스너 홀들을 포함하는, 플라즈마 챔버.
  11. 제 10 항에 있어서,
    상기 하단 측면은 상기 수직으로 배향된 내측 표면과 상기 수평으로 배향된 표면 사이에 커브된 에지를 갖고, 상기 커브된 에지는 날카로움이 결여되고, 상기 커브된 에지는 상기 커브된 에지에서 상기 에지 링의 칩핑 기회들을 감소시키는, 플라즈마 챔버.
  12. 제 10 항에 있어서,
    상기 복수의 패스너 홀들 중 임의의 2 개의 인접한 패스너 홀들 사이의 거리는 동일한, 플라즈마 챔버.
  13. 제 10 항에 있어서,
    상기 쓰레드된 내측 표면은 상기 복수의 패스너들 중 각각의 패스너의 쓰레드된 표면과 인게이지하도록 구성되는, 플라즈마 챔버.
  14. 제 10 항에 있어서,
    상기 복수의 패스너 홀들은 상기 환형 바디를 통해 연장하지 않는, 플라즈마 챔버.
  15. 제 10 항에 있어서,
    상기 쓰레드된 내측 표면은 복수의 나선형 쓰레드들을 형성하도록 상기 하단 측면 내로 드릴링되는, 플라즈마 챔버.
  16. 제 10 항에 있어서,
    상기 지지 링은 상기 복수의 패스너들을 수용하기 위한 복수의 쓰루홀들을 포함하고, 상기 지지 링은 전극을 포함하는, 플라즈마 챔버.
  17. 절연체 링;
    상기 절연체 링을 따라 위치된 지지 링;
    상기 지지 링 위에 위치된 에지 링; 및
    상기 에지 링 및 상기 지지 링 옆에 위치된 척을 포함하고,
    상기 에지 링은,
    하단 측면, 상단 측면, 내측 측면, 및 외측 측면을 갖는 환형 바디; 및
    상기 하단 측면을 따라 상기 환형 바디 내에 제조된 복수의 패스너 홀들로서, 상기 복수의 패스너 홀들 각각은 상기 하단 측면의 수평으로 배향된 표면으로부터 상기 상단 측면을 향해 연장하고, 상기 수평으로 배향된 표면은 상기 내측 측면의 수직으로 배향된 내측 표면으로부터 상기 복수의 패스너 홀들로 연장하고, 상기 복수의 패스너 홀들 각각은 상기 환형 바디를 상기 지지 링에 부착하기 위해 사용된 복수의 패스너들 중 각각의 패스너를 수용하기 위해 상기 하단 측면 내에 형성된 쓰레드된 내측 표면을 갖는, 상기 복수의 패스너 홀들을 포함하는, 플라즈마 챔버.
  18. 제 17 항에 있어서,
    상기 하단 측면은 상기 수직으로 배향된 내측 표면과 상기 수평으로 배향된 표면 사이에 커브된 에지를 갖고, 상기 커브된 에지는 날카로움이 결여되고, 상기 커브된 에지는 상기 커브된 에지에서 상기 에지 링의 칩핑 기회들을 감소시키는, 플라즈마 챔버.
  19. 제 17 항에 있어서,
    상기 복수의 패스너 홀들 중 임의의 2 개의 인접한 패스너 홀들 사이의 거리는 동일한, 플라즈마 챔버.
  20. 제 17 항에 있어서,
    상기 지지 링은 전극을 포함하고, 상기 전극은 복수의 전력 핀들에 커플링되도록 구성되고, 상기 절연체 링은 상기 복수의 전력 핀들을 수용하기 위한 복수의 쓰루홀들을 포함하는, 플라즈마 챔버.
KR1020227011030A 2017-12-15 2017-12-15 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들 KR102505152B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237006795A KR102652428B1 (ko) 2017-12-15 2017-12-15 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020217000935A KR102383784B1 (ko) 2017-12-15 2017-12-15 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
PCT/US2017/066874 WO2019117969A1 (en) 2017-12-15 2017-12-15 Ring structures and systems for use in a plasma chamber

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217000935A Division KR102383784B1 (ko) 2017-12-15 2017-12-15 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237006795A Division KR102652428B1 (ko) 2017-12-15 2017-12-15 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들

Publications (2)

Publication Number Publication Date
KR20220045086A KR20220045086A (ko) 2022-04-12
KR102505152B1 true KR102505152B1 (ko) 2023-02-28

Family

ID=66820564

Family Applications (6)

Application Number Title Priority Date Filing Date
KR1020247011965A KR20240049660A (ko) 2017-12-15 2017-12-15 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
KR1020237006795A KR102652428B1 (ko) 2017-12-15 2017-12-15 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
KR1020217000935A KR102383784B1 (ko) 2017-12-15 2017-12-15 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
KR1020227011030A KR102505152B1 (ko) 2017-12-15 2017-12-15 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
KR1020207020316A KR102204181B1 (ko) 2017-12-15 2017-12-15 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
KR1020247009973A KR20240045363A (ko) 2017-12-15 2017-12-15 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020247011965A KR20240049660A (ko) 2017-12-15 2017-12-15 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
KR1020237006795A KR102652428B1 (ko) 2017-12-15 2017-12-15 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
KR1020217000935A KR102383784B1 (ko) 2017-12-15 2017-12-15 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020207020316A KR102204181B1 (ko) 2017-12-15 2017-12-15 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
KR1020247009973A KR20240045363A (ko) 2017-12-15 2017-12-15 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들

Country Status (7)

Country Link
US (2) US20200365378A1 (ko)
EP (1) EP3724912A4 (ko)
JP (4) JP7101778B2 (ko)
KR (6) KR20240049660A (ko)
CN (5) CN111466009B (ko)
SG (1) SG11202004504VA (ko)
WO (1) WO2019117969A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102200315B1 (ko) * 2019-07-29 2021-01-08 세메스 주식회사 기판 지지 장치 및 이를 포함하는 기판 처리 장치
CN112687510B (zh) * 2019-10-18 2023-10-31 中微半导体设备(上海)股份有限公司 一种防止约束环发生电弧损伤的等离子体处理器和方法
CN114078680B (zh) * 2020-08-20 2023-09-29 中微半导体设备(上海)股份有限公司 等离子体处理装置
US20230066418A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Focus ring for a plasma-based semiconductor processing tool
WO2024097679A1 (en) * 2022-11-03 2024-05-10 Lam Research Corporation Systems and methods for increasing a heat transfer contact area associated with an edge ring

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004511901A (ja) * 2000-10-06 2004-04-15 ラム リサーチ コーポレーション 静電気的にクランプされるプラズマ処理用エッジリング
JP2012015514A (ja) * 2010-06-30 2012-01-19 Lam Res Corp プラズマ処理チャンバ用の可動接地リング

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6013984A (en) * 1998-06-10 2000-01-11 Lam Research Corporation Ion energy attenuation method by determining the required number of ion collisions
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
JP2004296553A (ja) * 2003-03-25 2004-10-21 Ngk Insulators Ltd 半導体製造装置用部材
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US8524005B2 (en) * 2006-07-07 2013-09-03 Tokyo Electron Limited Heat-transfer structure and substrate processing apparatus
JP2008078208A (ja) 2006-09-19 2008-04-03 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
JP2009054630A (ja) 2007-08-23 2009-03-12 Tokyo Electron Ltd シリンダ停止位置可変機構及びそれを備えた基板処理装置
JP5281811B2 (ja) * 2008-03-13 2013-09-04 東京エレクトロン株式会社 プラズマ処理用環状部品、プラズマ処理装置、及び外側環状部材
WO2010021890A2 (en) * 2008-08-19 2010-02-25 Lam Research Corporation Edge rings for electrostatic chucks
JP5743895B2 (ja) * 2008-10-31 2015-07-01 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバの下側電極アセンブリ
DE202010015933U1 (de) 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US8826855B2 (en) * 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
KR101246670B1 (ko) * 2010-10-26 2013-03-25 주식회사 템네스트 반도체 제조설비의 정전척
JP6001529B2 (ja) * 2011-03-29 2016-10-05 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
JP5893516B2 (ja) * 2012-06-22 2016-03-23 東京エレクトロン株式会社 被処理体の処理装置及び被処理体の載置台
US9129899B2 (en) * 2013-07-17 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thinning wafer thereof
JP2015050156A (ja) 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
US10804081B2 (en) * 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
JP6219229B2 (ja) 2014-05-19 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構
JP5767373B2 (ja) 2014-07-29 2015-08-19 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法並びにこれを実施するためのプログラムを記憶する記憶媒体
WO2016099826A1 (en) 2014-12-19 2016-06-23 Applied Materials, Inc. Edge ring for a substrate processing chamber
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10854492B2 (en) 2015-08-18 2020-12-01 Lam Research Corporation Edge ring assembly for improving feature profile tilting at extreme edge of wafer
KR102382823B1 (ko) * 2015-09-04 2022-04-06 삼성전자주식회사 에어 홀을 갖는 링 부재 및 그를 포함하는 기판 처리 장치
CN108140606B (zh) * 2015-10-21 2022-05-24 住友大阪水泥股份有限公司 静电卡盘装置
US11276590B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004511901A (ja) * 2000-10-06 2004-04-15 ラム リサーチ コーポレーション 静電気的にクランプされるプラズマ処理用エッジリング
JP2012015514A (ja) * 2010-06-30 2012-01-19 Lam Res Corp プラズマ処理チャンバ用の可動接地リング

Also Published As

Publication number Publication date
KR102204181B1 (ko) 2021-01-19
CN111466009A (zh) 2020-07-28
KR20240049660A (ko) 2024-04-16
KR102652428B1 (ko) 2024-03-27
JP7442582B2 (ja) 2024-03-04
JP2021515383A (ja) 2021-06-17
JP2024056956A (ja) 2024-04-23
EP3724912A4 (en) 2021-08-11
CN116884824A (zh) 2023-10-13
KR20210008146A (ko) 2021-01-20
US20240162015A1 (en) 2024-05-16
KR20220045086A (ko) 2022-04-12
JP7101778B2 (ja) 2022-07-15
KR20230032002A (ko) 2023-03-07
CN111466009B (zh) 2023-07-07
JP2022133380A (ja) 2022-09-13
CN115938902A (zh) 2023-04-07
US20200365378A1 (en) 2020-11-19
JP2023182784A (ja) 2023-12-26
CN116884823A (zh) 2023-10-13
KR20240045363A (ko) 2024-04-05
CN116884825A (zh) 2023-10-13
SG11202004504VA (en) 2020-07-29
KR102383784B1 (ko) 2022-04-08
WO2019117969A1 (en) 2019-06-20
KR20200088917A (ko) 2020-07-23
EP3724912A1 (en) 2020-10-21

Similar Documents

Publication Publication Date Title
KR102505152B1 (ko) 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
KR102532845B1 (ko) 커플링 링 내에서 전극의 사용에 의해 에지 영역에서 이온들의 방향성 제어를 위한 시스템들 및 방법들
KR102496625B1 (ko) 베벨 에처용 튜닝가능한 상부 플라즈마―배제―존 링
US20230092887A1 (en) Tuning voltage setpoint in a pulsed rf signal for a tunable edge sheath system
TWI780093B (zh) 用於電漿腔室的環結構及系統
US20230102487A1 (en) Minimizing reflected power in a tunable edge sheath system
CN114207772B (zh) 用于衬底处理系统的边缘环系统
TW202306438A (zh) 用於電漿腔室的環結構及系統
US10269593B2 (en) Apparatus for coupling a hot wire source to a process chamber
US20230054699A1 (en) Radiofrequency Signal Filter Arrangement for Plasma Processing System
JP2024521079A (ja) 複数セクションのプラズマ閉じ込めリング構造

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant