CN108140606B - 静电卡盘装置 - Google Patents

静电卡盘装置 Download PDF

Info

Publication number
CN108140606B
CN108140606B CN201680061236.3A CN201680061236A CN108140606B CN 108140606 B CN108140606 B CN 108140606B CN 201680061236 A CN201680061236 A CN 201680061236A CN 108140606 B CN108140606 B CN 108140606B
Authority
CN
China
Prior art keywords
focus ring
mounting
electrostatic
mounting table
plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680061236.3A
Other languages
English (en)
Other versions
CN108140606A (zh
Inventor
森谷义明
牧恵吾
河野仁
安藤和人
金原勇贵
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sumitomo Osaka Cement Co Ltd
Original Assignee
Sumitomo Osaka Cement Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumitomo Osaka Cement Co Ltd filed Critical Sumitomo Osaka Cement Co Ltd
Publication of CN108140606A publication Critical patent/CN108140606A/zh
Application granted granted Critical
Publication of CN108140606B publication Critical patent/CN108140606B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供一种静电卡盘装置,其具备:载置台,具有载置板状试样的载置面;静电吸附用电极,位于所述载置台的下侧且所述载置面的相反面侧;基底部,搭载所述载置台及所述电极;聚焦环,包围所述载置台的周围且连续或被分割为2个以上;及提升销,使所述聚焦环的整体或至少一部分相对于所述基底部上升且能够沿上下方向移动。

Description

静电卡盘装置
技术领域
本发明涉及一种静电卡盘装置。
本申请主张基于2015年10月21日申请于日本的日本专利申请2015-207060号的优先权,并将该内容援用于此。
背景技术
以往,在半导体制造装置中,作为用于在载置台简单地安装晶圆或玻璃基板等板状试样并进行固定的装置,采用使用了静电吸附机构的静电卡盘装置。在这种静电卡盘装置上设置有沿垂直方向贯穿载置台且能够上下运动的提升销(例如专利文献1)。这种静电卡盘装置通过提升销能够使板状试样轻松地从载置台脱离。
现有技术文献
专利文献
专利文献1:日本特开2004-214312号公报
发明内容
发明要解决的技术课题
在设置有提升销的静电卡盘装置中,在载置台的表面设置有供提升销插入的孔。由于该孔,载置台的表面的温度分布容易变得不均匀。载置台的表面温度对进行板状试样的等离子体蚀刻时的蚀刻速度造成影响。因此,因所述孔产生的表面温度的不均匀有可能妨碍均质的蚀刻。
本发明是鉴于这种情况而完成的,其目的在于提供一种不阻碍载置台的表面温度的均匀性且具有使板状试样轻松地从载置台脱离的结构的静电卡盘装置。
用于解决技术课题的手段
为了解决上述课题,作为本发明的第一方式提供以下装置。
本发明提供一种静电卡盘装置,其具备:载置台,具有在上侧载置板状试样的载置面;静电吸附用电极,位于所述载置台的下侧;基底部,搭载所述载置台部;聚焦环,包围所述载置台部的周围;及提升销,使所述聚焦环相对于所述基底部上升。
更具体而言,本发明的第一方式提供一种静电卡盘装置,其具备:载置台,具有载置板状试样的载置面;静电吸附用电极,位于所述载置台的下侧且所述载置面的相反面侧;基底部,至少搭载所述载置台及所述电极;聚焦环,包围所述载置台的周围且连续或被分割为2个以上;及提升销,使所述聚焦环的整体或至少一部分相对于所述基底部上升且能够沿上下方向移动。
第一方式的静电卡盘装置中优选所述聚焦环在俯视观察时具有环形形状且具有相互分割的可动部与固定部,所述可动部根据所述提升销的动作而上升或下降,所述固定部固定于所述基底部。
第一方式的静电卡盘装置中优选所述相互分割的可动部与固定部沿周向被分割。即,优选所述可动部与固定部遍及周向以形成上下重合的斜面的方式被分割。
第一方式的静电卡盘装置中优选所述可动部在周向端部具有朝向下侧的第1面,所述固定部在周向端部具有朝向上侧的第2面,所述第1面与所述第2面在上下方向上对置。
第一方式的静电卡盘装置中优选所述第1面及所述第2面以由这些面与水平面所成的锐角相对于水平方向具有45°以下的角度的方式倾斜。
第一方式的静电卡盘装置中优选所述聚焦环具有伴随因所述提升销的上升而搭载并移动所述板状试样的搭载面,所述搭载面在进行搭载之前位于搭载所述板状试样的载置面的高度以下的高度。
第一方式的静电卡盘装置中优选所述载置台具有与所述载置台一体化且遍及所述载置台的外周缘而设置的周缘部,
所述周缘部的上表面具有一对堤部及槽部,所述槽部形成气体流路,所述气体流路形成在一对堤部之间,
所述聚焦环具有沿上下方向相互分割的上侧部与下侧部,所述上侧部能够通过所述提升销上升及下降,所述下侧部覆盖相对于所述周缘部固定且位于下侧部的下侧的气体流路即所述槽部。
第一方式的静电卡盘装置中优选所述聚焦环以俯视观察时具有与所述静电吸附用电极重合的部分的方式配置,并被所述静电吸附用电极吸附。
第一方式的静电卡盘装置中也优选所述聚焦环沿上下被分割为上侧部和下侧部,
上侧部的上表面通过到达环的中心的2条直线被分割为可动部与固定部这两个部分,
所述装置包括与载置台一体化的环状的周缘部,所述周缘部搭载所述聚焦环并且具有提升销插通孔,
插通所述周缘部的所述孔的所述提升销与所述聚焦环的所述可动部连结,
聚焦环的上表面除了提升作业时以外位于从截面观察时与载置台的载置面相同的高度或低于此高度的位置。
第一方式的静电卡盘装置中也优选所述周缘部具有沿周向延伸的相对的一对堤部和被堤部包围的槽部,所述槽部具有冷却气体导入孔,并通过在槽部流动的冷却气体冷却所述聚焦环。
第一方式的静电卡盘装置中也优选所述上侧部的下表面及所述下侧部的上表面中的至少一个表面具有槽部,
下侧部具有将冷却气体导入到所述槽部的冷却气体导入孔,所导入的冷却气体冷却所述聚焦环。
第一方式的静电卡盘装置中也优选所述聚焦环上具有与聚焦环相比外径相同而内径更大的环状突出部,
突出部的上表面的高度与板状试样的上表面的高度大致一致。
另外,本发明中这些优选的特征只要无特别问题,则也能够相互组合使用。
发明效果
根据本发明,能够提供一种不阻碍载置台的表面温度的均匀性且具有使板状试样轻松地从载置台脱离的结构的静电卡盘装置。
附图说明
图1为表示本发明的优选的一例的第1实施方式所涉及的静电卡盘装置的概略剖视图。
图2A为表示第1实施方式所涉及的静电卡盘装置处于稳定状态的概略立体图。
图2B为表示第1实施方式所涉及的静电卡盘装置处于提升状态的概略立体图。
具体实施方式
以下,参考附图对作为本发明的优选例的实施方式所涉及的静电卡盘装置1进行说明。在以下说明中使用的附图中,为了便于理解特征,方便起见有时放大示出成为特征的部分,各构成要件的尺寸比例等未必与实际相同。
并且,本发明并不仅限定于这些例子,能够在不脱离本发明的宗旨的范围内进行结构的附加、省略、替换、位置、数量、形状、更换以及其他变更。本发明并不受后述说明的限定,仅受所附权利要求书的限定。
图1为表示本发明的优选的一例的静电卡盘装置1的剖视图。图2A与2B为静电卡盘装置1的立体图。图2A的静电卡盘装置1处于板状试样W固定于载置板11的稳定状态。图2B的静电卡盘装置1处于用于使硅晶圆等板状试样W脱离的提升状态。这些图中,载置有比载置面大的板状试样W。另外,本说明书中静电卡盘装置1的稳定状态是指在所述装置吸附了作为加工对象的板状试样W的状态且搭载面31a位于较低的位置的状态。另一方面,静电卡盘装置1的提升状态是指为了将板状试样W移动到在下一个工序中进行的处理,而将板状试样W抬起而从载置板11的载置面19分离的状态。
静电卡盘装置1具备:载置面19,在俯视观察时具有圆形形状且载置板状试样W;主体部2,具有载置面19;环状的聚焦环30,包围主体部2的载置面19而配置;及提升销39,使聚焦环30相对于主体部2上升。并且,主体部2依次具有具备载置面19的载置板11、第1绝缘层14、静电吸附用电极13、粘接层8、第2绝缘层7及基底部(基材部)3。另外,本说明书中,将载置面19侧设为静电卡盘装置1的上侧且将基底部3侧设为静电卡盘装置1的下侧来说明各结构的相对位置。然而,实际使用时的静电卡盘装置1的姿势并不限定于该朝向。并且,以相对于沿静电卡盘装置1的上下方向延伸的中心轴的径向为基准称为“外侧(或径向外侧)”及“内侧(或径向内侧)”。并且,将以中心轴为中心的周向(围绕中心轴的轴周)简称为“周向”。如此,说明各部的位置。
(主体部)
主体部2具有从上侧依次层叠有载置板11、第1绝缘层14、静电吸附用电极13、粘接层8、第2绝缘层7及基底部3的结构。并且,主体部2具有贯穿粘接层8、第2绝缘层7及基底部3而对静电吸附用电极13施加电压的供电用端子15。载置板11具有:载置台11a,具有圆板形状且位于径向中央侧;及凸缘形状的周缘部11b,位于主体部的周缘并且位于相对于载置台11a向下侧降低一层的位置。周缘部11b具有朝向上侧的面。本例中,作为朝向该上侧的面设置有阶梯面19a。即,在主体部2的载置面19的周缘设置有相对于载置面19降低一层的阶梯面19a。在阶梯面19a搭载有聚焦环30。在阶梯面19a存在阶梯,且优选设置有被阶梯包围的槽部40和槽部的底部40a。
并且,位于载置板11的下侧的第1绝缘层14、静电吸附用电极13在载置板11的下表面侧依次形成为层状。基底部3的上表面3b平坦,基底部3与静电吸附用电极13之间的间隙被粘接层8填满。
在主体部2形成有沿厚度方向贯穿所层叠的基材、绝缘层、粘接层等的孔,具体而言形成有第1冷却气体导入孔18A、第2冷却气体导入孔18B及提升销插通孔17。第1冷却气体导入孔18A在载置面19开口。另一方面,第2冷却气体导入孔18B及提升销插通孔17在阶梯面19a开口。
静电吸附用电极13及第1绝缘层14避开第1冷却气体导入孔18A、第2冷却气体导入孔18B及提升销插通孔17即形成为不与这些孔接触。即,俯视观察时,在静电吸附用电极13及第1绝缘层14未设置有第1冷却气体导入孔18A、第2冷却气体导入孔18B及提升销插入孔17。
静电吸附用电极13及第1绝缘层14也优选通过粘接层8而从静电卡盘装置的外周被阻断,并不露出于外部。
根据需要,将所选择的氦气等冷却气体供给至第1冷却气体导入孔18A及第2冷却气体导入孔18B。从第1冷却气体导入孔18A导入的冷却气体在载置面19与板状试样W的下表面之间的间隙流动并冷却板状试样W。另一方面,导入至第2冷却气体导入孔18B的冷却气体在阶梯面19a与聚焦环30的下表面之间的间隙流动并冷却聚焦环。在冷却中使用的在阶梯面19a与聚焦环30的下表面之间的间隙流动的气体根据需要适当地排出。可以设置多个第2冷却气体导入孔,并将该孔中的任一个用作排出用孔。
提升销39插通于提升销插通孔17。在提升销39的下端设置有使多个提升销39同步地上下运动的提升销驱动装置37。提升销39能够沿提升销插通孔17的内周部上下移动。优选在提升销插通孔17的内周部设置有筒状的绝缘子(省略图示),以确保提升销39与主体部2的绝缘。
(载置板)
载置板11具有:载置台11a,具有在上侧载置板状试样W的载置面19;及周缘部11b,设置于载置台11a的周缘。周缘部11b遍及周向1周而形成于载置板11的外周缘。周缘部11b的上表面为相对于载置面19降低一层且搭载有聚焦环30的阶梯面19a。
在载置台11a的载置面19形成有直径比板状试样W的厚度小的多个突起部45。静电卡盘装置1成为多个突起部45撑起板状试样W的结构。由此,在板状试样W的下侧形成冷却气体的流路,从而能够冷却板状试样W。突起部45具有大致圆锥台形状。另外,突起部45的形状并不限定于圆锥台形状。并且,突起部45的截面形状并不受限于圆形形状,也可以是矩形形状、三角形形状。突起部45的高度能够任意选择,为了提高冷却气体的流动效率,优选形成为6μm以上且50μm以下,更优选形成为6μm以上且20μm以下。多个突起部45相互分离设置。俯视观察静电卡盘装置1时,多个突起部45遍及整个载置面19而设置。多个突起部彼此的间隔并无特别限定。
优选在周缘部11b的阶梯面19a设置有沿周向延伸的相对的一对环状的堤部41及形成于一对堤部41之间的环状的槽部(气体流路)40。聚焦环30搭载于一对堤部41的上端面。
提升销插通孔17在槽部40开口,且提升销39沿上下方向通过该孔。并且,第2冷却气体导入孔18B在槽部40开口,冷却气体经由第2冷却气体导入孔18B而被导入。通过该结构,冷却气体在槽部40流动,从而槽部40成为气体流路。冷却气体在槽部40流动,从而聚焦环30被冷却。
槽部40的深度只要是在槽部40内不阻碍用于冷却聚焦环30的冷却气体的流动的程度即可。所述深度优选为10μm~50μm,更优选为35μm~40μm,进一步优选为13μm~15μm,最优选为10μm~12μm。
载置板11能够由任意选择的材料形成,优选选自氧化铝-碳化硅(Al2O3-SiC)复合烧结体、氧化铝(Al2O3)烧结体、氮化铝(AlN)烧结体及氧化钇(Y2O3)烧结体等且优选由绝缘性的陶瓷烧结体构成。它们具有机械性强度,并且具有对腐蚀性气体及其等离子体的耐久性。
陶瓷烧结体中的陶瓷粒子的平均粒径优选为10μm以下,更优选为2μm以下。载置板11的制造工序包括在设置于载置面19的突起部45的形成过程中进行的喷砂加工等。喷砂工序为向载置面19的表面喷涂研磨材料以进行挖掘的工序。因此,有时在突起部45的内部残留裂纹。
预先通过在喷砂工序之后进行的抛光而强制去除所残留的裂纹。
裂纹形成于陶瓷烧结体中的陶瓷粒子的晶界。因此,当陶瓷粒子的粒径比较大时,经过抛光,沿晶界大幅去除角部。陶瓷粒子的粒径越大,突起部45形状越变圆。如后段中说明的那样,优选本实施方式的突起部45的截面积不在高度方向上发生变化。因此,优选突起部45不变圆。陶瓷粒子的平均粒径设为10μm以下(更优选为2μm以下),从而能够在载置面19形成抑制了截面积沿高度方向变化的突起部45。
载置板11的厚度能够任意选择,优选为0.3mm以上且1.0mm以下。若载置板11的厚度为0.3mm以上,则不会因施加于静电吸附用电极13的电压而导致载置板11的绝缘遭破坏而放电。并且,若载置板11的厚度为0.3mm以上,则不会在加工时破损而产生龟裂。另一方面,若为1.0mm以下,则能够以所希望的强度将板状试样W充分地吸附固定。另外,与板状试样W的尺寸(大小)相比,载置板11的载置面的尺寸更小,与板状试样W的尺寸相比,聚焦环的内周所形成的圆的尺寸更小。
(第1绝缘层)
第1绝缘层14为具有绝缘性及耐电压性的树脂层。作为第1绝缘层14的形成材料例如能够举出聚酰亚胺树脂、硅酮树脂及环氧树脂等。第1绝缘层14能够通过任意的方法形成,优选通过粘接薄膜状或片状的形成材料来形成。第1绝缘层14经由未图示的粘接剂粘接于载置板11的下表面。另外,也可以将第1绝缘层14与载置板11之间的线视为所述粘接剂。
(静电吸附用电极)
静电吸附用电极13位于载置板11的载置台11a及周缘部11b的下侧。静电吸附用电极13被用作用于产生电荷而利用静电吸附力来将板状试样W固定的静电卡盘用电极。根据其用途、其形状和大小适当地调整。例如静电吸附用电极13也可以在形成静电吸附用电极13的那一层作为具有规定的图案的电极而设置。另外,静电吸附用电极13即使作为不具有图案的所谓固体电极而设置也发挥功能。
静电吸附用电极13也能够通过在第1绝缘层14粘接作为静电吸附用电极13的形成材料的非磁性的金属箔或通过溅射和蒸镀来成膜而形成。除此之外,也可以通过采用网版印刷等涂布法来涂布作为静电吸附用电极13的形成材料的导电性材料与有机物的复合材料来形成。并且,静电吸附用电极13可以设置于载置板11的内部。
静电吸附用电极13可以由任意的材料形成。例如能够由氧化铝-碳化钽(Al2O3-Ta4C5)导电性复合烧结体、氧化铝-钨(Al2O3-W)导电性复合烧结体、氧化铝-碳化硅(Al2O3-SiC)导电性复合烧结体、氮化铝-钨(AlN-W)导电性复合烧结体、氮化铝-钽(AlN-Ta)导电性复合烧结体、氧化钇-钼(Y2O3-Mo)导电性复合烧结体等导电性陶瓷或钨(W)、钽(Ta)、钼(Mo)等高熔点金属等形成。并且,静电吸附用电极13也能够由铜(Cu)、铝(Al)、碳(C)形成。
静电吸附用电极13的厚度并无特别限定。例如所述厚度优选为0.1μm以上且50μm以下。若厚度为0.1μm以上,则能够确保充分的导电性。另一方面,若厚度为50μm以下,则不会因静电吸附用电极13与载置板11之间的热膨胀率差而在静电吸附用电极13与载置板11的接合界面出现裂纹。
静电吸附用电极13可以在俯视观察时为与第1绝缘层14相同的大小。或者可以设为在俯视观察时电极13比第1绝缘层14小的结构。通过将静电吸附用电极13设为这种结构,第1绝缘层14也存在于从静电吸附用电极13的端部朝向装置外侧的斜上方。因此,通过不仅在静电吸附用电极13的铅垂上方而且还在静电吸附用电极13的斜上方设置第1绝缘层14,能够提高耐电压的效果,并能够抑制绝缘破坏。
(供电用端子)
供电用端子15为用于对静电吸附用电极13施加直流电压而设置的棒状的端子。供电用端子15的形成材料只要是耐热性优异的导电性材料则并无特别限制。例如能够使用金属材料和导电性有机材料。供电用端子15的导电率优选为104Ω·cm以下。
供电用端子15优选热膨胀系数与静电吸附用电极13近似。例如适宜使用构成静电吸附用电极13的导电性陶瓷或钨(W)、钽(Ta)、钼(Mo)、铌(Nb)、可伐合金(Kovar alloy)等金属材料。供电用端子15优选被具有绝缘性的绝缘子(省略图示)包围且相对于基底部3绝缘。
(基底部)
基底部3配置于与静电吸附用电极13的载置板11侧相反的一侧(静电吸附用电极13的下方)。在基底部3搭载有载置台11a。基底部3冷却载置板11以调整为所希望的温度。基底部3呈具有厚度的圆板状。基底部3的形状能够任意选择,例如能够适宜使用在其内部形成有使水循环的流路(省略图示)的水冷基底部等。
作为构成基底部3的材料只要是导热性、导电性、加工性优异的金属或含有这些金属的复合材则并无特别限制,能够根据需要选择。例如适宜使用铝(Al)、铝合金、铜(Cu)、铜合金、不锈钢(SUS)、钛等。优选基底部3的至少暴露于等离子体的面被施以氧化铝膜处理或成膜有氧化铝等绝缘膜。
(第2绝缘层)
第2绝缘层7优选为具有绝缘性及耐电压性的薄膜状或片状的树脂,且设置于基底部3与静电吸附用电极13之间。本实施方式中,第2绝缘层7经由未图示的粘接剂粘接于基底部3的上表面。第2绝缘层7能够设为与上述的第1绝缘层14相同的结构(形成材料、厚度)。另外,也可以将第2绝缘层7与基底部3之间的线视为所述粘接剂。
(粘接层)
粘接层8介于载置板11的下表面与基底部3的上表面之间,并覆盖第1绝缘层14、静电吸附用电极13、第2绝缘层7的侧面而使主体部2的各层成为一体化。
并且,粘接层8具有热应力的缓和作用。
粘接层8能够由任意的材料形成,例如由将硅酮类树脂组合物进行加热固化的固化体或丙烯酸树脂形成。粘接层8优选通过将具有流动性的树脂组合物填充于载置板11与基底部3之间之后进行加热固化来形成。
(聚焦环)
聚焦环30搭载于朝向载置板11的周缘部11b的上方的面,本例中搭载于阶梯面19a。聚焦环30覆盖位于其下侧的阶梯面19a的槽部(气体流路)40。
本实施方式中,在俯视观察时,静电吸附用电极13延伸至与聚焦环30重合的位置。由此,静电吸附用电极13将聚焦环静电吸附。即,聚焦环30被静电吸附于载置板11的周缘部11b。
聚焦环30包围载置台11a的周围。聚焦环30在俯视观察时具有环形形状。聚焦环30的内径稍微比载置台11a的外径大。
聚焦环30优选在等离子体蚀刻等处理工序中被控制为与板状试样W相同的温度。聚焦环30的材质可任意选择,例如当用于氧化膜蚀刻时,适宜使用多晶硅和碳化硅等烧结体。
如图1所示,聚焦环30具有沿上下方向相互分割且能够分离的上侧部31与下侧部32。上侧部31及下侧部32分别具有圆环形状。
下侧部32覆盖位于其下侧的阶梯面19a的槽部(气体流路)40。导入到槽部40的冷却气体冷却下侧部32,并且经由下侧部32冷却上侧部31。下侧部32被静电吸附,从而固定于主体部2。在下侧部32设置有供提升销39插通的插通孔32h(省略图示)。下侧部32即使在提升销39上下运动时也维持固定于主体部2的状态。
上侧部31位于下侧部32的上侧。上侧部31通过静电吸附而经由下侧部32固定于主体部2。在上侧部31的下表面固定有提升销39的上端面。由此,上侧部31随着提升销39的上升而从下侧部32分离。
另外,如图1中虚线(双点划线)所示,也优选在上侧部31与下侧部32之间设置有供冷却气体流动的槽部(气体流路)50。可以在下侧部32设置有相对于槽部50开口且沿上下方向贯穿的冷却气体导入孔51。冷却气体导入孔51从槽部40或从第2冷却气体导入孔直接导入冷却气体。槽部50可以设置于上侧部31的下表面或可以设置于下侧部32的上表面。也可以设置于两处。在这些情况下,在上侧部31与下侧部32之间也导入冷却气体,从而冷却气体直接冷却上侧部31。
另外,存在槽部50时,可以省略槽部40而将阶梯面19a设为平面。该情况下,将第2冷却气体导入孔与槽部50结合。
上侧部31具有朝向上侧的搭载面31a。搭载面31a与板状试样W的下表面对置。不提升板状试样W时,搭载面31a位于载置台11a的载置面19的高度以下的高度即低于载置面19的高度。另外,在此载置面19的高度是指从截面观察时载置面19中搭载有板状试样W的高度。即,本实施方式中,载置面19的高度是指突起部45的顶点的高度。另外,这些高度可以视为从截面观察时自基底部的底面的距离。搭载面31a随着基于提升销39的上升而搭载板状试样W。
搭载面31a的表面粗糙度Ra优选设为0.05μm以下。由此,搭载面31a与板状试样W的接触顺畅,搭载面31a不易磨损且能够抑制由磨损引起的颗粒的产生。
另外,如图1中虚线(双点划线)所示,还可以在搭载面31a的径向外侧设置有沿周向延伸的突出部31c。突出部31c从径向外侧包围板状试样W。突出部31c的上表面的高度与板状试样W的上表面的高度大致一致。由于设置有突出部31c,从而俯视观察时在板状试样W的内侧与外侧,相对于等离子体的电气环境的一致性提高。由此,能够使得在板状试样W与其外侧更不易产生等离子体处理的差异或偏差,且能够提高蚀刻的均质性。突出部31c的形状能够任意选择,优选为环形。也优选突出部31c配置于聚焦环上且与聚焦环相比外径相同而内径更大。
如图2A所示,聚焦环30的上侧部31在俯视观察时为环形形状。并且,优选聚焦环30的上侧部31具有沿周向相互分割的可动部33及固定部34。图中聚焦环30的上侧部被分割为2个部分,可动部与固定部各一个。可以根据需要被分割为2个以上。
如图2B所示,可动部33随着提升销39的上升而上升。通过该动作,可动部33能够抬起板状试样。并且,在可动部33的下表面设置有嵌合于设置在下侧部32的上表面的定位销38的省略图示的定位孔。通过设置有定位销38及定位孔(省略图示),与提升销39一起使可动部33下降时,可动部33不偏离而定位于下侧部32。
另一方面,固定部34相对于下侧部32(即,相对于基底部3侧)固定。因此,即使提升销39上下运动,固定部34也不会从下侧部32分离。
可动部33的一部分沿径向开口,从而在可动部的两端之间具有开口部33b,俯视观察时构成C字形。并且,可动部33不移动时,固定部34在俯视观察时位于可动部33的开口部33b内。如图2A所示,在静电卡盘装置1处于稳定状态时,可动部33及固定部34沿周向相连而构成闭合环。并且,如图2B所示,静电卡盘装置1处于提升状态时,只有可动部33上升,使得可动部33的开口部33b被开放。
在提升状态下,能够将用于移送板状试样W的移送爪(省略图示)从径向外侧插入到开口部33b。
可动部33在一对周向端部具有分别朝向下侧的倾斜面即第1面33a。位于搭载面31a的所述一对周向端部的端线在本例中位于通过环形形状的中心的直线上,但本发明并不限定于此。
另一方面,固定部34在一对周向端部具有分别朝向上侧的倾斜面即第2面34a。在稳定状态下,第1面33a与第2面34a在俯视观察时相互重合。第1面33a与第2面34a在静电卡盘装置1处于稳定状态时沿上下方向隔着5mm以下的间隙对置。间隙的下限能够任意选择,可以设为0.01mm等。并且,第1面33a与第2面34a可以在稳定状态下无间隙地接触。在稳定状态下,第1面33a与第2面34a通过相互倾斜的面在上下方向上相互重合。通过该结构,可动部33与固定部34的接触部或这些部之间的间隙成为相对于上下方向朝倾斜方向延伸的状态。由此,能够延长侵入的路径,且能够抑制等离子体侵入到可动部33与固定部34之间的间隙。因此,能够抑制可动部33及固定部34在间隙中被侵蚀而使间隙扩大,且能够提供长寿命的静电卡盘装置1。另外,第1面33a及第2面34a相对于水平方向的倾斜角(锐角)优选设为45°以下。由此,能够更有效地抑制等离子体侵入到可动部33与固定部34之间的间隙。
另外,俯视观察时的固定部34的表面积与可动部33的表面积的比率即分割的搭载面的面积的比率能够任意选择,作为一例,例如可以举出1:1.5~1:15、1:1.5~1:5或1:1.5~1:3等。
另外,本实施方式中,第1面33a及第2面34a为具有一定的倾斜度的倾斜面,但并不仅限定于这种方式。例如第1面33a与第2面34a可以是沿阶梯状延伸且在上下方向上对置的阶梯面的一部分。并且,第1面33a与第2面34a可以是倾斜度沿周向变化的倾斜面。
本实施方式的聚焦环30也能够采用具有互不相同的部件的上侧部31与下侧部32的结构或不具有下侧部32的结构。
同样地,聚焦环30也能够采用不具有固定部34的结构。即,聚焦环30可以设为闭合的圆环状的可动部与提升销一同上升,并抬起板状试样W的结构。具体而言,闭合的圆环状的可动部可以设为如下,将图2A中的固定部34与可动部33一体化并将一体化的上侧部31设为可动部。或者,也可以是将所述一体化的上侧部31与下侧部32进一步一体化并将其设为可动部。可以将固定部34与下侧部32一体化。
如以上说明,本发明的结构提供优异的效果。
根据本实施方式的静电卡盘装置1,提升包围载置台11a的周围的聚焦环30的至少一部分。由此,能够将板状试样W从载置台11a的载置面19脱离开。因此,不需要贯穿载置台11a的提升销。
其结果,不需要设置在载置面19开口且容易成为载置面19内的温度异常点的提升销插通孔,且能够提高载置面19的面内的温度均匀性。
根据本实施方式的静电卡盘装置1,通过圆环状的聚焦环30,能够支承俯视观察时呈圆形形状的板状试样W的周缘。因此,能够通过确保更宽的用于支承板状试样W的接触面积来减轻施加于板状试样的负荷,而且还能够抑制因板状试样W的磨损而引起颗粒的产生。
此外,本实施方式的静电卡盘装置1在提升状态下,聚焦环30在板状试样W的周缘接触。因此,例如在板状试样W为硅晶圆且在硅器件制造中使用静电卡盘装置1的情况等,在提升状态下负荷不施加于器件区域,从而能够构建可靠性高的制造工序。
根据本实施方式的静电卡盘装置1,聚焦环30俯视观察时为环形形状,且优选具有沿周向相互分割的可动部33与固定部34。通过这种结构,可动部33具有夹在其两端且沿径向开口的开口部33b。因此,可动部33在提升状态下将开口部33b开放,从而能够从开口部33b将移送爪部(省略图示)从径向外侧进行插入。
并且,根据本实施方式的静电卡盘装置1,聚焦环30覆盖设置于其下侧的作为气体流路的槽部40。更具体而言,聚焦环30具有上侧部31和下侧部32,在下侧部32的下侧优选设置有槽部40。并且,作为静电卡盘装置1的变形例,可以在上侧部31与下侧部32之间设置有槽部50。通过这种结构,利用冷却气体更有效地冷却聚焦环30,从而能够使板状试样W的外周部的温度变得稳定,且能够使板状试样W的面内的蚀刻特性变得均匀。
以上,参考附图对本发明所涉及的优选的实施方式的例子进行了说明,当然本发明并不限定于相关的例子。在上述例子中示出的各结构部件的各种形状和组合等为一例,能够在不脱离本发明的宗旨的范围内根据设计要求等进行各种变更。
产业上的可利用性
能够提供一种不阻碍载置台的表面温度的均匀性且具有使板状试样轻松地从载置台脱离的结构的静电卡盘装置。
标号说明
1-静电卡盘装置
2-主体部
3-基底部
3b-上表面
7-第2绝缘层
8-粘接层
11-载置板
11a-载置台
11b-周缘部
13-静电吸附用电极
14-第1绝缘层
15-供电用端子
17-提升销插通孔
18A-第1冷却气体导入孔
18B-第2冷却气体导入孔
19-载置面
19a-阶梯面
30-聚焦环
31-上侧部
31a-搭载面
31c-突出部
32-下侧部
33-可动部
33a-第1面
33b-开口部
34-固定部
34a-第2面
37-提升销驱动装置
38-定位销
39-提升销
40、50-环状槽部(气体流路)
40a-槽部的底部
41-堤部
45-突起部
51-冷却气体导入孔
W-板状试样

Claims (12)

1.一种静电卡盘装置,其具备:
载置台,具有载置板状试样的载置面;
静电吸附用电极,位于所述载置台的下侧且所述载置面的相反面侧;
基底部,至少搭载所述载置台及所述电极;
聚焦环,包围所述载置台的周围且连续或被分割为2个以上;及
提升销,使所述聚焦环的整体或至少一部分相对于所述基底部上升且能够沿上下方向移动,
所述载置台具有与所述载置台一体化且遍及所述载置台的外周缘而设置的周缘部,
所述周缘部的上表面具有一对堤部及槽部,所述槽部形成气体流路,所述气体流路形成在一对堤部之间。
2.根据权利要求1所述的静电卡盘装置,其中,
所述聚焦环在俯视观察时具有环形形状且具有相互分割的可动部与固定部,
所述可动部根据所述提升销的动作而上升或下降,
所述固定部相对于所述基底部固定。
3.根据权利要求1所述的静电卡盘装置,其中,
所述相互分割的可动部与固定部沿周向而在斜面被分割。
4.根据权利要求2所述的静电卡盘装置,其中,
所述可动部在周向端部具有朝向下侧的第1面,
所述固定部在周向端部具有朝向上侧的第2面,
所述第1面与所述第2面在上下方向上对置。
5.根据权利要求4所述的静电卡盘装置,其中,
所述第1面及所述第2面以由这些面与水平面所成的锐角相对于水平方向具有45°以下的角度的方式倾斜。
6.根据权利要求1所述的静电卡盘装置,其中,
所述聚焦环具有伴随因所述提升销的上升而搭载并移动所述板状试样的搭载面,
所述搭载面在进行搭载之前位于所述载置面的高度以下的高度。
7.根据权利要求1所述的静电卡盘装置,其中,
所述聚焦环具有沿上下方向相互分割的上侧部与下侧部,
所述上侧部能够通过所述提升销上升及下降,
所述下侧部覆盖相对于所述周缘部固定且位于所述下侧部的下侧的气体流路即所述槽部。
8.根据权利要求1所述的静电卡盘装置,其中,
所述聚焦环以俯视观察时具有与所述静电吸附用电极重合的部分的方式配置,并被所述静电吸附用电极吸附。
9.根据权利要求1所述的静电卡盘装置,其中,
所述聚焦环沿上下被分割为上侧部和下侧部,
所述上侧部的上表面通过到达环的中心的2条直线被分割为可动部与固定部这两个部分,
所述周缘部搭载所述聚焦环并且具有提升销插通孔,
插通所述周缘部的所述孔的所述提升销与所述聚焦环的所述可动部连结,
所述聚焦环的上表面除了提升作业时以外位于从截面观察时与所述载置台的所述载置面相同的高度或低于此高度的位置。
10.根据权利要求9所述的静电卡盘装置,其中,
所述一对堤部以沿周向延伸相对的方式设置,所述槽部具有冷却气体导入孔,并通过在槽部流动的冷却气体冷却所述聚焦环。
11.根据权利要求9所述的静电卡盘装置,其中,
所述上侧部的下表面及所述下侧部的上表面中的至少一个表面具有槽部,
所述下侧部具有将冷却气体导入到所述槽部的冷却气体导入孔,所导入的冷却气体冷却所述聚焦环。
12.根据权利要求9所述的静电卡盘装置,其中,
所述聚焦环上具有与所述聚焦环相比外径相同而内径更大的环状的突出部,
突出部的上表面的高度与板状试样的上表面的高度大致一致。
CN201680061236.3A 2015-10-21 2016-10-21 静电卡盘装置 Active CN108140606B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2015207060 2015-10-21
JP2015-207060 2015-10-21
PCT/JP2016/081262 WO2017069238A1 (ja) 2015-10-21 2016-10-21 静電チャック装置

Publications (2)

Publication Number Publication Date
CN108140606A CN108140606A (zh) 2018-06-08
CN108140606B true CN108140606B (zh) 2022-05-24

Family

ID=58557079

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680061236.3A Active CN108140606B (zh) 2015-10-21 2016-10-21 静电卡盘装置

Country Status (5)

Country Link
US (1) US11024528B2 (zh)
JP (1) JP6806051B2 (zh)
KR (1) KR102634280B1 (zh)
CN (1) CN108140606B (zh)
WO (1) WO2017069238A1 (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6888007B2 (ja) * 2016-01-26 2021-06-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウェハエッジリングの持ち上げに関する解決
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
KR102051668B1 (ko) * 2016-12-20 2019-12-04 주식회사 티씨케이 SiC 증착층을 포함하는 반도체 제조용 부품 및 그 제조방법
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP7038497B2 (ja) * 2017-07-07 2022-03-18 東京エレクトロン株式会社 静電チャックの製造方法
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP7033441B2 (ja) * 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
SG11202004504VA (en) * 2017-12-15 2020-07-29 Lam Res Corp Ring structures and systems for use in a plasma chamber
US11043400B2 (en) * 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
JP7100485B2 (ja) * 2018-04-26 2022-07-13 キヤノン株式会社 インプリント装置およびデバイス製造方法
JP7170422B2 (ja) * 2018-05-15 2022-11-14 東京エレクトロン株式会社 処理装置
US11201037B2 (en) * 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
CN111312633A (zh) * 2018-07-27 2020-06-19 上海华力集成电路制造有限公司 硅刻蚀机及其操作方法
CN109192696B (zh) * 2018-08-10 2021-06-08 北京北方华创微电子装备有限公司 升降针系统、真空反应腔室以及半导体加工设备
KR102423380B1 (ko) * 2018-09-13 2022-07-22 엔지케이 인슐레이터 엘티디 웨이퍼 배치 장치
KR102134391B1 (ko) * 2018-10-18 2020-07-15 세메스 주식회사 기판 처리 장치
KR102256214B1 (ko) * 2018-10-18 2021-05-25 세메스 주식회사 기판 처리 장치
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
CN111383885B (zh) * 2018-12-27 2023-03-31 中微半导体设备(上海)股份有限公司 一种能提高控温精度的基片安装台及等离子体处理设备
JP7134104B2 (ja) * 2019-01-09 2022-09-09 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
JP7345289B2 (ja) * 2019-06-18 2023-09-15 東京エレクトロン株式会社 基板処理装置、基板処理システム及び基板搬送方法
KR20210002175A (ko) 2019-06-26 2021-01-07 삼성전자주식회사 센서 모듈 및 이를 구비하는 식각 장치
KR102477910B1 (ko) * 2019-07-02 2022-12-16 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US20210320027A1 (en) * 2020-04-09 2021-10-14 Applied Materials, Inc. Systems and methods for substrate support temperature control
JP2023527691A (ja) 2020-05-11 2023-06-30 インテグリス・インコーポレーテッド ガス流特徴部を有する静電チャック、及び関連する方法
US20210375650A1 (en) * 2020-06-01 2021-12-02 Applied Materials, Inc. High temperature and vacuum isolation processing mini-environments
KR102327270B1 (ko) * 2020-12-03 2021-11-17 피에스케이 주식회사 지지 유닛, 기판 처리 장치, 그리고 기판 처리 방법
US20220351951A1 (en) * 2021-04-29 2022-11-03 Applied Materials, Inc. Substrate support apparatus, methods, and systems having elevated surfaces for heat transfer
CN115172249B (zh) * 2022-07-26 2024-01-30 苏州众芯联电子材料有限公司 一种静电卡盘

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1540738A (zh) * 2003-04-24 2004-10-27 ���������ƴ���ʽ���� 等离子体处理装置、聚焦环和基座
CN1922457A (zh) * 2004-02-27 2007-02-28 应用材料股份有限公司 对构图的晶片背面进行快速热退火处理的方法
KR20080001163A (ko) * 2006-06-29 2008-01-03 주식회사 하이닉스반도체 홀 휘어짐 방지를 위한 플라즈마 식각 장치
KR20080023569A (ko) * 2006-09-11 2008-03-14 주식회사 하이닉스반도체 식각프로파일 변형을 방지하는 플라즈마식각장치
CN102569130A (zh) * 2010-12-22 2012-07-11 东京毅力科创株式会社 基板处理装置及基板处理方法
CN102592936A (zh) * 2011-01-07 2012-07-18 东京毅力科创株式会社 聚焦环和具有该聚焦环的基板处理装置
JP2014150104A (ja) * 2013-01-31 2014-08-21 Tokyo Electron Ltd 載置台及びプラズマ処理装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
JP4153296B2 (ja) 2002-12-27 2008-09-24 株式会社アルバック 基板処理装置
JP4547182B2 (ja) 2003-04-24 2010-09-22 東京エレクトロン株式会社 プラズマ処理装置
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
KR100653707B1 (ko) * 2004-10-21 2006-12-04 삼성전자주식회사 플라즈마 처리장치의 플라즈마 처리방법
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
CN102160167B (zh) * 2008-08-12 2013-12-04 应用材料公司 静电吸盘组件
KR102037542B1 (ko) * 2012-01-17 2019-10-28 도쿄엘렉트론가부시키가이샤 기판 배치대 및 플라즈마 처리 장치
JP5905735B2 (ja) * 2012-02-21 2016-04-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板温度の設定可能帯域の変更方法
US9385017B2 (en) * 2012-08-06 2016-07-05 Nordson Corporation Apparatus and methods for handling workpieces of different sizes
JP6400273B2 (ja) * 2013-03-11 2018-10-03 新光電気工業株式会社 静電チャック装置
US9410249B2 (en) * 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1540738A (zh) * 2003-04-24 2004-10-27 ���������ƴ���ʽ���� 等离子体处理装置、聚焦环和基座
CN1922457A (zh) * 2004-02-27 2007-02-28 应用材料股份有限公司 对构图的晶片背面进行快速热退火处理的方法
KR20080001163A (ko) * 2006-06-29 2008-01-03 주식회사 하이닉스반도체 홀 휘어짐 방지를 위한 플라즈마 식각 장치
KR20080023569A (ko) * 2006-09-11 2008-03-14 주식회사 하이닉스반도체 식각프로파일 변형을 방지하는 플라즈마식각장치
CN102569130A (zh) * 2010-12-22 2012-07-11 东京毅力科创株式会社 基板处理装置及基板处理方法
CN102592936A (zh) * 2011-01-07 2012-07-18 东京毅力科创株式会社 聚焦环和具有该聚焦环的基板处理装置
JP2014150104A (ja) * 2013-01-31 2014-08-21 Tokyo Electron Ltd 載置台及びプラズマ処理装置

Also Published As

Publication number Publication date
CN108140606A (zh) 2018-06-08
JP6806051B2 (ja) 2021-01-06
JPWO2017069238A1 (ja) 2018-08-09
KR102634280B1 (ko) 2024-02-07
US11024528B2 (en) 2021-06-01
US20180308737A1 (en) 2018-10-25
WO2017069238A1 (ja) 2017-04-27
KR20180072689A (ko) 2018-06-29

Similar Documents

Publication Publication Date Title
CN108140606B (zh) 静电卡盘装置
US10256131B2 (en) Electrostatic chuck device
US10153192B2 (en) Electrostatic chuck device
US10622239B2 (en) Electrostatic chuck device
KR102470943B1 (ko) 배치대 및 플라즈마 처리 장치
JP6119430B2 (ja) 静電チャック装置
US11348819B2 (en) Electrostatic chuck device
US10079167B2 (en) Electrostatic chucking device
KR102233920B1 (ko) 정전 척 장치
CN103843128A (zh) 静电夹具
CN111108589A (zh) 静电卡盘装置
CN111480222A (zh) 静电卡盘装置及静电卡盘装置的制造方法
JP4961179B2 (ja) 基板処理装置及び半導体装置の製造方法
CN111446197B (zh) 静电吸盘和包括其的静电吸盘装置
JP2014197612A (ja) 被処理基板のプラズマ処理用載置台及びこれを用いたプラズマ処理装置
CN110783162B (zh) 静电吸盘

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant