KR101354571B1 - 플라즈마 강화 화학적 기상 증착 및 경사형 에지 에칭을 위한 시스템 - Google Patents

플라즈마 강화 화학적 기상 증착 및 경사형 에지 에칭을 위한 시스템 Download PDF

Info

Publication number
KR101354571B1
KR101354571B1 KR1020107003210A KR20107003210A KR101354571B1 KR 101354571 B1 KR101354571 B1 KR 101354571B1 KR 1020107003210 A KR1020107003210 A KR 1020107003210A KR 20107003210 A KR20107003210 A KR 20107003210A KR 101354571 B1 KR101354571 B1 KR 101354571B1
Authority
KR
South Korea
Prior art keywords
substrate
gas
processing
chamber
edge
Prior art date
Application number
KR1020107003210A
Other languages
English (en)
Other versions
KR20100035177A (ko
Inventor
아시쉬 샤
데일 알. 두 보이스
가네쉬 발라수브라마니안
마크 에이. 포더
의균 김
치우 찬
카르틱 자나키라만
토마스 노웍
조셉 씨. 워너
비스웨스와렌 시바라마크리쉬난
모하메드 아윱
바야티 아미르 알
지앤화 저우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20100035177A publication Critical patent/KR20100035177A/ko
Application granted granted Critical
Publication of KR101354571B1 publication Critical patent/KR101354571B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Abstract

본 명세서에서 설명된 실시예들은 기판 에지 처리 성능들이 결합되는 기판 처리 시스템에 관한 것이다. 처리 시스템의 예시된 예들은 공장 인터페이스, 로드록 챔버, 이송 챔버, 및 서로 격리가능하고 공통 가스 공급원 및 공통 배기 펌프를 공유하는 두 개 또는 그 초과의 처리 구역들을 가지는 하나 또는 그 초과의 이중 공정 챔버들을 포함하지만, 이에 제한되는 것은 아니다. 각각의 이중 공정 챔버 내의 처리 구역들은 각각의 처리 구역의 기판 표면 상의 선택된 구역들에서 플라즈마를 제공하기 위한 개별 가스 분배 조립체들 및 RF 전원들을 포함한다. 이에 의해 각각의 이중 공정 챔버는 다중의, 격리된 공정들이 처리 구역들 내의 두 개 이상의 기판들 상에서 동시에 수행되도록 구성된다.

Description

플라즈마 강화 화학적 기상 증착 및 경사형 에지 에칭을 위한 시스템 {SYSTEMS FOR PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION AND BEVEL EDGE ETCHING}
본 발명의 실시예들은 일반적으로 반도체 기판들을 처리하기 위한 장치 및 방법들에 관한 것이다. 더욱 특별하게는, 본 발명의 실시예들은 에지 구역 근처의 기판을 처리하기 위한 장치 및 방법들에 관한 것이다.
소유자의 비용을 절감하도록, 반도체 제조 공정들은 높은 반복성을 가지고 동시에 다중 기판들을 처리할 수 있는 일체형 시스템에서 수행될 수 있다. 일체형 시스템은 보통 공장 인터페이스(factory interface), 로드록 챔버 및 화학적 기상 증착(CVD) 또는 플라즈마 강화 화학적 기상 증착(PECVD) 공정들에 의해 하나 또는 그 초과의 기판들 상에 증착 필름을 형성하도록 구성되는 기판 증착 챔버를 포함한다.
CVD/PECVD 공정 동안, 보통 기판에 걸쳐 균일한 두께 프로파일을 가지며 기판의 에지 영역 근처에 증착이 없는 것이 바람직하다. 증착이 바람직하지 않은 에지 근처의 영역은 일반적으로 " 에지 배제부(edge exclusion) "로서 지칭된다. 도 1a는 기판(101) 상에 형성된 증착 층(102)에 대한 목표 프로파일(desired profile)을 보여주는 부분 횡단면도이다. 증착 층(102)은 기판(101)의 상부면에 걸쳐 균일하게 증착되고 에지 배제 영역(103)에는 증착되지 않는다. 불행하게도, 실제 증착 프로파일은 일반적으로 도 1a에 예시된 이상적인 형상과 상이하다. 도 1b는 CVD 또는 PECVD 증착을 수행한 후 기판(101) 상의 증착 층(102a)의 실제 표면 프로파일을 보여주는 부분 횡단면도이다. 증착 층(102a)은 통상적으로 에지 배제 영역(103)으로 연장하고, 여분의(extra) 두께를 가지는 경사형 에지(bevel edge; 104)가 에지 배제 영역(103) 근처에 형성될 수 있다.
기판의 에지에 증착 필름의 형성을 방지하기 위하여, 도 1c는 섀도우 링(shadow ring; 105)을 이용하도록 제안되는 하나의 종래의 접근 방식을 보여주는 부분 횡단면도이다. 섀도우 링(105)은 보통 기판(101)의 에지 배제 영역(103)의 적어도 일 부분에 겹쳐져 덮는 위치에 배열된다. 결과적으로, 도 1c에 도시된 바와 같이, 증착 층(102b)은 섀도우 링(105)의 그늘(shadow) 아래에서 점차적으로 감소한다.
섀도우 링(105)의 이용에 의해 현재 최고 3.5 mm-폭 에지 배제 영역 내에서 두께 균일도, 또는 다른 목표 에지 프로파일(other desired edge profile)을 달성할 수 있지만, 두께 비-균일도, 또는 다른 목표 프로파일 특성들에 대한 요구조건은 점차적으로 축소되는 장치의 크기 때문에 2mm-폭 에지 배제 영역으로 감소되어야 한다. 작은 에지 배제 영역의 결과로서, 에지 영역에 증착을 방지하기 위해 섀도우 링(105)을 이용하는 종래의 접근 방식은 만족스런 결과를 제공할 수 없을 것이다.
따라서, 기판 상에 필름을 증착하고 섀도우 링을 이용하지 않으면서 통합된 방식으로 기판 에지 구역으로부터 필름을 제거하기 위한 장치 및 방법에 대한 요구가 있다.
본 출원은 기판 에지 처리 성능(substrate edge processing capability)들이 결합되는 기판 처리 장치들 및 방법들을 설명한다. 일 실시예에서, 장치는 로드록 챔버, 로드록 챔버에 결합되는 이송 챔버, 및 이송 챔버에 결합되는 하나 또는 그 초과의 이중(twin) 공정 챔버를 포함하며, 각각의 이중 공정 챔버는 두 개의 개별 처리 구역들을 형성한다. 각각의 처리 구역은 기판 지지면을 가지는 기판 지지부, 플라즈마 상태의 에칭 작용제를 기판 지지면의 주변 구역으로 공급하도록 구성되는 플라즈마 발생기, 및 가스 소스에 결합되는 가스 전달 조립체를 포함하며, 가스 전달 조립체는 기판 지지면 위에 반지름방향 가스 유동을 발생하도록 구성되고, 반지름방향 가스 유동은 기판 지지면의 거의 중앙 구역으로부터 기판 지지면의 주변 구역을 향하여 이동한다.
다른 실시예에서, 기판 처리 장치는 로드록 챔버, 로드록 챔버에 결합되는 이송 챔버, 이송 챔버에 결합되고 증착 공정들을 실시하기 위해 구성된 하나 또는 그 초과의 이중 공정 챔버, 및 이송 챔버에 결합되는 기판 에지 처리 챔버를 포함한다. 기판 에지 처리 챔버는 기판 지지면을 가지는 기판 지지부, 플라즈마 상태의 에칭 작용제를 기판 지지면의 주변 구역으로 공급하도록 구성된 플라즈마 발생기, 및 가스 소스에 결합되는 가스 전달 조립체를 포함하며, 가스 전달 조립체는 기판 지지면 위로 반지름방향 가스 유동을 발생하도록 구성되며, 반지름방향 가스 유동은 기판 지지면의 거의 중앙 구역으로부터 기판 지지면의 주변 구역을 향하여 이동한다.
본 발명의 상술된 특징들을 상세하게 이해할 수 있도록, 상기에서 간단히 요약된 본 발명의 더욱 특별한 상세한 설명들은 실시예들을 참조하여 이루어질 수 있으며, 실시예들 중 일부는 첨부된 도면들에 예시된다. 그러나, 첨부된 도면들은 단지 본 발명의 통상적인 실시예들을 예시하며, 따라서 본 발명의 범위를 제한하는 것으로 고려되지 않으며, 본 발명에 대해 다른 균등한 효과의 실시예들이 인정될 수 있다는 것에 주의하여야 한다.
도 1a는 기판의 주변 구역에서 증착 층에 대한 목표 프로파일을 예시하는 도면이며,
도 1b는 기판의 주변 구역에서 증착 층에 대한 실제로 얻어진 프로파일을 예시하는 도면이며,
도 1c는 기판의 주변 구역에서 증착 필름의 형성을 방지하도록 섀도우 링(shadow ring)을 이용하는 하나의 종래의 접근 방식을 예시하며,
도 2a는 기판 에지 처리 성능들을 구비한 기판 처리 시스템의 일 실시예를 보여주는 개략적인 횡단면도이며,
도 2b는 도 2a에 도시된 처리 시스템에 통합된 로드록 챔버의 일 실시예를 보여주는 부분 횡단면도이며,
도 2c는 이중 공정 챔버의 일 실시예를 보여주는 횡단면도이며,
도 3a는 기판 에지 처리 성능들을 구비한 기판 처리 시스템의 일 실시예를 보여주는 개략적인 횡단면도이며,
도 3b는 에지 에칭 기능을 통합하는 기판 처리 시스템의 다른 실시예를 보여주는 개략도이며,
도 4는 에지 에칭 기능을 통합하는 기판 처리 시스템의 또 다른 실시예를 보여주는 개략도이며,
도 5는 에지 에칭 챔버의 일 실시예를 보여주는 개략적인 횡단면도이며,
도 6a는 두 개 이상의 기판들을 동시에 처리하도록 서로 위에 적층되는 두 개의 처리 구역들을 가지는 에지 에칭 챔버의 선택적인 실시예를 보여주는 개략적인 횡단면도이며,
도 6b는 로드록 챔버와 함께 적층되는 에지 에칭 챔버의 선택적인 일 실시예를 보여주는 개략적인 횡단면도이며,
도 7a는 에지 에칭 장치의 일 실시예의 개략도이며,
도 7b는 에지 에칭 장치의 일 실시예의 개략도이며,
도 8은 에지 에칭 장치의 일 실시예의 개략도이다.
이해를 용이하게 하기 위해, 도면들에 공통하는 동일한 구성요소들을 표시하기 위해, 가능하게는 동일한 도면부호들이 이용되었다. 일 실시예에서 공개되는 구성요소들이 특정 인용 없이 다른 실시예들에 유익하게 이용될 수 있다는 것이 고려된다.
본 명세서에서 설명되는 실시예들은 기판 에지 처리 성능들을 통합하는 기판 처리 시스템에 관한 것이다. 더욱 상세하게는, 처리 시스템은 하나 또는 그 초과의 기판의 로드(load)를 수용하고, 각각의 기판 상에 증착 필름을 형성하고, 그리고 기판의 에지 구역에서 증착 필름의 선택적인 부분을 에칭하기 위해 가동 가능하다. 처리 시스템의 예시된 예들은 공장 인터페이스, 로드록 챔버, 이송 챔버, 및 서로 격리가능하고 공통 가스 공급원 및 공통 배기 펌프를 공유하는 두 개 또는 그 초과의 처리 구역들을 가지는 하나 또는 그 초과의 이중 공정 챔버들을 포함하지만, 이에 제한되는 것은 아니다. 각각의 이중 공정 챔버 내의 처리 구역들은 각각의 처리 구역의 기판 표면 상의 선택적인 구역들에 플라즈마를 제공하기 위해 개별 가스 분배 조립체들 및 RF 전원들을 포함한다. 이에 의해 각각의 이중 공정 챔버는 다중의, 격리된 공정들이 처리 구역들 내의 두 개 이상의 기판들 상에서 동시에 수행되도록 구성된다.
도 2a는 기판 처리 시스템(200)의 일 실시예를 보여주는 개략도이다. 처리 시스템(200)은 기판들이 로드록 챔버(240)로 로딩되고 로드록 챔버로부터 언로딩되는 공장 인터페이스(210), 기판들을 취급하기 위한 로봇(272)을 수용하는 기판 이송 챔버(270), 및 이송 챔버(270)에 연결되는 복수의 이중 공정 챔버(300)들을 포함한다. 처리 시스템(200)은 CVD 및 에칭 공정들과 같은 지지 챔버 하드웨어 및 다양한 공정들을 수용하도록 구성된다. 아래 설명되는 실시예는 비결정질의 탄소를 포함하는 고급 패터닝 필름(advanced patterning film)을 증착하도록 PECVD를 실시할 수 있는 시스템으로 지향될 것이고, 또한 기판상에 증착된 필름의 에지 부분을 에칭한다. 그러나, 다른 공정들이 본 명세서에서 설명되는 실시예들에 의해 고려된다는 것을 이해하여야 한다.
도 2a에 도시된 바와 같이, 공장 인터페이스(210)는 기판 카세트(213)들 및 기판 취급 로봇(215)을 포함할 수 있다. 각각의 카세트(213)들은 처리할 준비가 된 기판들을 포함한다. 기판-취급 로봇(215)은 로드록 챔버(240)들 내로 기판들을 로딩할 준비가 된 각각의 카세트(213) 내의 기판들을 나타내기 위한 기판 매핑(mapping) 시스템을 포함할 수 있다.
도 2b는 로드록 챔버(240)들 중 하나의 로드록 챔버가 공장 인터페이스(210)와 이송 챔버(270) 사이에 결합되는 일 실시예를 보여주는 개략적인 측면도이다. 각각의 로드록 챔버(240)는 공장 인터페이스(210)와 이송 챔버(270) 사이에 진공 인터페이스(vacuum interface)를 제공한다. 각각의 로드록 챔버(240)는 로드록 챔버(240) 내에 적층되는 상부 기판 지지부(242) 및 하부 기판 지지부(244)를 포함할 수 있다. 상부 기판 지지부(242) 및 하부 기판 지지부(244)는 그 위로 유입 및 유출 기판들을 지지하도록 구성될 수 있다. 기판들은 하나의 슬릿 밸브(246)를 경유하여 공장 인터페이스(210)와 각각의 로드록 챔버(240) 사이, 및 하나의 슬릿 밸브(248)를 경유하여 각각의 로드록 챔버(240)와 이송 챔버(270) 사이로 이송될 수 있다. 상부 기판 지지부(242) 및 하부 기판 지지부(244)는 이송 동안 기판들을 가열 또는 냉각하기 위해 내장된 가열기 또는 냉각기와 같은, 온도 제어를 위한 구성물(feature)들을 포함할 수 있다.
도 2a을 다시 참조하면, 이송 챔버(270)는 로드록 챔버(240)들과 이중 공정 챔버(300)들 사이에서 기판들을 이송하기 위해 가동되는 기판-취급 로봇(272)을 포함한다. 더욱 상세하게는, 기판-취급 로봇(272)은 하나의 챔버로부터 또 다른 챔버로 동시에 두 개의 기판들을 이송하기에 적절한 듀얼(dual) 기판-취급 블레이드(274)들을 가질 수 있다. 기판들은 슬릿 밸브(276)들을 경유하여 이송 챔버(270)와 이중 공정 챔버(300)들 사이로 이송될 수 있다. 기판-취급 로봇(272)의 운동은 서보 또는 스테퍼 모터를 포함할 수 있는 모터 구동 시스템(도시안됨)에 의해 제어될 수 있다.
도 2c는 이중 공정 챔버(300)의 일 실시예의 개략적인 횡단면도이다. 이중 공정 챔버(300)는 이송 챔버에 연결되고 두 개의 처리 구역(302)들을 포함하는데, 두 개의 처리 구역에서 개별 기판(304)들은 증착 및 에지 에칭 공정들을 동시에 겪을 수 있다. 각각의 처리 구역(302)은 부분적으로 공정 용적(316)을 형성하는 벽(312)들 및 바닥(314)을 가지며, 이 공정 용적 내로 제 1 가스 소스(306) 및 제 2 가스 소스(308)로부터 제공되는 공정 가스들이 각각 제 1 유입 포트(307) 및 제 2 유입 포트(309)를 통하여 도입될 수 있다. 공정 용적(316)은 벽(312)들에 형성된 액세스 포트(도시안됨)를 통하여 출입될 수 있으며, 액세스 포트는 각각의 처리 구역(302) 내로 및 외부로의 기판(304)의 이동을 용이하게 한다. 벽(312)들 및 바닥(314)은 알루미늄 또는 처리시 호환될 수 있는 다른 재료의 일체형 블록으로 제조될 수 있다. 벽(312)들은 덮개 조립체(322)를 지지하고, 그리고 또한 라이너의 조립체(324)를 포함하며, 라이너의 조립체를 통하여 처리 구역(302)이 진공 펌프(도시안됨)에 의해 공정 용적(316)의 주변을 따라 규일하게 배기될 수 있다.
기판 지지 조립체(330)는 각각의 처리 구역(302) 내 중앙에 배치될 수 있다. 일 실시예에서, 지지 조립체(330)는 온도 제어될 수 있다. 지지 조립체(330)는 처리 동안 기판(304)을 지지할 수 있다. 일 실시예에서, 지지 조립체(330)는 알루미늄으로 제조된 지지 베이스(332)를 포함하며, 지지 베이스는 지지 조립체(330) 및 그 위에 배치되는 기판(304)을 미리 정해진 온도로 제어가능하게 가열하도록 작동 가능한 하나 이상의 매립형 가열기(334)를 캡슐형태로 매립할 수 있다. 일 실시예에서, 지지 조립체(330)는 처리되는 재료에 대한 처리 매개변수들에 따라, 약 150℃ 내지 약 1000℃의 온도로 기판(304)을 유지하도록 작동될 수 있다.
각각의 지지 베이스(332)는 상측부(336A) 및 하측부(336B)를 가질 수 있다. 기판(304)을 지지하는 상측부(336A)는 기판(304) 보다 작은 표면적을 가져서, 기판(304)의 주변 에지 구역이 에칭 또는 세정과 같은, 처리를 용이하게 하도록 지지 베이스(332)와 접촉하지 않고 남아있게 된다. 하측부(336B)는 이에 결합되는 스템(338)을 가질 수 있다. 스템(338)은 지지 조립체(330)를 리프트 시스템(340)으로 결합하는데, 리프트 시스템은 지지 조립체(330)를 상승된 처리 위치와 처리 구역(302)으로 및 처리 구역으로부터의 기판 이송을 용이하게 하는 하강 위치 사이에서 수직하게 이동한다. 스템(338)은 지지 조립체(330)와 챔버(300)의 다른 부품들 사이에 전기 및 열전쌍 리드들용 도관을 추가로 제공한다. 벨로우즈(342)는 스템(338)과 각각의 처리 구역(302)의 바닥(314) 사이에 결합될 수 있다. 벨로우즈(342)는 공정 용적(316)과 각각의 처리 구역(302) 외부 대기 사이에 진공 밀봉을 제공하는 한편, 지지 조립체(330)의 수직 이동을 용이하게 한다.
기판(304)의 이송을 용이하게 하도록, 각각의 지지 베이스(332)는 또한 복수의 개구(346)들을 가지며, 복수의 개구들을 통하여 리프트 핀(348)들이 가동되게 장착된다. 리프트 핀(348)들은 제 1 위치와 제 2 위치 사이에서 이동하도록 작동가능하다. 도 2c에 도시된, 제 1 위치는 기판(304)이 지지 베이스(332)의 상측부(336A) 위에 배치되도록 한다. 제 2 위치(도시안됨)는 기판(304)을 지지 베이스(332) 위로 리프팅하여 기판(304)이 액세스 포트(도시안됨)를 통하여 도달하는 기판 취급 로봇으로 이송될 수 있다. 리프트 핀(348)들의 상방/하방 이동들은 가동판(350)에 의해 구동될 수 있다.
각각의 지지 조립체(330)는 또한 지지 베이스(332)의 기판 지지면에 대해 수직한 수직 기준 축선(Z)에 대해 기판(304)을 센터링하도록 작동가능한 센터링 메카니즘(360)을 포함할 수 있다. 센터링 메카니즘(360)은 지지 베이스(332)의 주변에 위치되는 3개 또는 그 초과의 가동 센터링 핑거(362)들, 및 핑거(362)들 아래에 배치되는 마주하는 판(364)을 포함한다. 각각의 핑거(362)는 지지 베이스(332) 상에 피봇가능하게 장착된다. 마주하는 판(364) 및 지지 베이스(332)는 상대적으로 가동되어 마주하는 판(364)이 해제 위치에서 핑거(362)들과 접촉하여 핑거들을 피봇할 수 있고 센터링 위치에서 핑거(362)들로부터 자유로운 상태로 남아 있을 수 있다.
일 실시예에서, 마주하는 판(364)은 정지할 수 있고 지지 베이스(332)와 마주하는 판(364) 사이의 상대적인 이동은 지지 베이스(332)의 수직 이동에 의한다. 지지 조립체(330)가 도 2c에 도시된 바와 같이 상승된 위치에 있을 때 핑거(362)들은 기판(304)을 센터링하도록 기판(304)의 주변 에지와 결합하고, 지지 조립체(330)가 하강 위치(도시안됨)에 있을 때 핑거들은 기판(304)의 주변 에지로부터 결합 해제한다. 유사한 센터링 조립체의 상세한 설명은 발명의 명칭이 " 공정 챔버 내에서 기판을 센터링하기 위한 장치 및 방법(APPARATUS AND METHOD FOR CENTERING A SUBSTRATE IN A PROCESS CHAMBER) "(서류 번호 제 11997LO2호)이고 2007년 10월 26일 출원된, 미국 가 특허 출원 제 60/982,961호에서 찾아볼 수 있으며, 이는 인용에 의해 본원에 포함된다.
덮개 조립체(322)는 각각의 처리 구역(302) 내의 공정 용적(316)에 대해 상부 경계를 제공한다. 덮개 조립체(322)는 처리 구역(302)들을 수리하기 위해 제거 또는 개방될 수 있다. 일 실시예에서, 덮개 조립체(322)는 알루미늄으로 제조될 수 있다.
가스 전달 조립체는 각각의 처리 구역(302) 내의 덮개 조립체(322)의 내측부에 결합된다. 가스 전달 조립체는 가스 보울(370)을 포함하며 가스 보울은 가스 보울(370)로 다양한 전위 바이어스들을 선택적으로 인가하기 위해 작동가능한 스위칭가능한 전원(372)으로 연결되는데, 다양한 전위 바이어스는 RF 전위 바이어스, DC 전위 바이어스, AC 전위 바이어스, 또는 접지 전위를 포함한다. 가스 보울(370)은 외벽(374), 내벽(376) 및 바닥(378)을 가진다. 바닥(378)의 형상은 각각의 처리 구역(302)에서 처리되는 기판(304)의 프로파일을 실질적으로 따르도록 구성될 수 있다. 각각의 가스 보울(370)은 제 1 유입 포트(307)를 경유하여 제 1 가스 소스(306)로 결합되는 제 1 가스 분배 회로, 및 제 2 유입 포트(309)를 경유하여 제 2 가스 소스(308)로 결합되는 제 2 가스 분배 회로를 포함한다.
제 1 가스 분배 회로는 외벽(374), 내벽(376)과 바닥(378) 사이로 부분적으로 범위가 정해지는 제 1 플레넘(380A), 및 바닥(378)의 주변 구역을 통하여 형성되어 제 1 플레넘(380A)에 연결되는 복수의 슬릿(382)들을 포함한다. 일 실시예에서, 슬릿(382)들은 기판(304)의 중앙 부분을 향한 가스 유동을 회피하도록 외측방으로 각도를 형성할 수 있다.
제 2 가스 분배 회로는 제 1 플레넘(380A)에 의해 둘러싸이는 플레넘(380B)을 포함한다. 제 2 유입 포트(309)에 연결되는 제 2 플레넘(380B)은 내벽(376) 및 바닥(378)의 천공 부분(384) 사이로 부분적으로 범위가 정해진다. 천공 부분(384)은 복수의 통공(386)들을 포함하며 복수의 통공들을 통하여 플레넘(380B)에 수용된 가스들이 공정 용적(316) 내로 유동한다.
하나의 기판(304)의 에지 구역에서 증착 필름의 일 부분을 에칭하기 위해, 각각의 처리 구역(302)은 또한 플라즈마 발생기를 포함하며, 플라즈마 발생기는 기판(304)의 주변 구역 내에서 플라즈마를 점화(strike)하기 위해 외벽(374)에 인접하게 배치된다. 플라즈마 발생기는 절연체 재료(390)들 사이에 끼워지는 전극(388)을 포함하며, 절연체 재료들은 가스 보울(370)의 외벽(374)에 인접하게 배열된다. 전극(388)은 RF 전원(392)에 결합되고, 외벽(374) 및 지지 베이스(332)의 주변 부분 근처에 위치되는 경사 단부(394)를 포함한다.
상술된 바와 같이 구성되는 각각의 처리 구역(302)은 증착 모드 또는 에지 에칭 모드 중 어느 하나로 선택적으로 작동될 수 있다.
증착 작동 모드(PECVD와 같은)에서, 플레넘(380B)은 제 2 가스 소스(308)로부터 공정 가스를 수용하고, 기판(304)이 가열된다. 지지 조립체(330)가 접지되는 동안, 스위칭가능한 전원(372)은 RF 전위 바이어스를 가스 보울(370)에 인가하여, 플라즈마가 통공(386)들을 통하여 도입되는 공정 가스로부터 지지 조립체(330)와 가스 보울(370) 사이의 공정 용적(316) 내에 발생된다. 이로써 전체 기판(304)이, 처리를 위해, 예를 들면 기판(304) 상으로 필름을 증착하기 위해 플라즈마에 노출된다. 스위칭가능한 전원(372)으로부터의 RF 전력, 공정 가스의 조성, 및 기판(304)으로 인가되는 열 상태들이 기판(304) 상에 형성하기 위한 증착 필름의 타입에 따라 설정될 수 있다.
일 실시예에서, 증착 필름은 비결정질 탄소를 포함하는 고급 패터닝 필름(advanced patterning film; "APF")을 포함할 수 있다. 탄소 소스로서 프로필렌(C3H6)을 포함하는 공정 가스가 공정 용적(316) 내로 도입되는 동안, APF는 약 200℃ 내지 1500℃의 기판 온도로 증착될 수 있다. 선택적으로, 공정 가스는 질소(N2) 및 도핑 원소들과 같이, APF에 포함하기 위한 부가적인 화학 원소들을 포함할 수 있다. 약 500W 내지 약 1500W의 RF 전력이 약 13.56 MHz의 주파수에서 챔버에 인가될 수 있다. PECVD에 의한 APF를 형성하기 위한 조건들 및 이의 이용의 더욱 상세한 설명은 발명의 명칭이 " DSA 처리를 위한 흡수기 층(Absorber Layer for DSA Processing) "인 미국 특허 제 7,262,106호에 설명되며, 이는 인용에 의해 본원에 포함된다.
증착 필름의 형성이 완료되면, 에지 에칭 기능은 기판(304)의 에지 구역 내에 증착 필름의 원하지 않는 부분들을 제거하기 위해 이용될 수 있다. 에지 에칭 작동 모드에서, 제 1 플레넘(380A)은 제 1 유입 포트(307)를 경유하여 제 1 가스 소스(306)로부터 에칭 가스를 수용한다. 에칭 가스들의 예들은 NF3, O2, F2, 또는 SF6를 포함할 수 있지만, 이에 제한되는 것은 아니다. 그 사이에, 가스 보울(370) 및 지지 베이스(332)는 접지될 수 있어, 전극(388)으로 인가되는 RF 전력은 경사 단부(394), 지지 베이스(332)와 가스 보울(370) 사이의 근처에 있는 슬릿(382)들을 경유하여 도입된 에칭 가스를 여기한다. 경사 단부(394)의 경사 각도는 슬릿(382)들로부터 전달되는 에칭 가스의 이온화를 용이하게 하도록 설정될 수 있다. 이로써 플라즈마 상태의 에칭 작용제는 기판(304)의 에지 구역의 근처에 형성된다.
기판(304)의 중앙 구역을 향하는 에칭 작용제의 확산을 방지하도록, 제 2 가스 소스(308)는 불활성 가스를 제공하며, 불활성 가스는 제 2 가스 분배 회로를 경유하여 전달되고, 기판의 중앙 구역으로부터 기판의 주변 에지 구역을 향하여 기판(304)의 상부면 상에서 반지름방향으로 유동한다. 불활성 가스의 반지름방향 유동은 에칭 작용제의 작용 영역을 기판(304)의 주변 구역으로 제한할 수 있다.
공정 시스템(300)의 하나의 특정 실시가 위에서 설명되었지만, 다양한 실시예들이 가능할 수 있다. 예를 들면, 선택적인 실시예들은 각각의 처리 구역(302) 내부에 그러나, 가스 보울(370)에 결합된 바와 같이 기판 에지로부터 이격되어 플라즈마 용적을 형성할 수 있다. 플라즈마 용적은 처리 가스의 유동을 수용하는데, 처리 가스가 기판(304)의 에지 구역으로 전달되기 전에 처리 가스가 플라즈마 용적 내에 배치되는 접지 전극과 RF 전극 사이를 통과할 때 처리 가스가 여기된다. 다른 실시예들에서, 플라즈마는 처리 구역(302)들 각각의 외부에 위치되는 원격 플라즈마 소스에 의해 제공될 수 있다. 기판 에지들을 처리하기 위한 유사한 처리 시스템들의 예들은 발명의 명칭이 " 기판 에지 구역을 처리하기 위한 장치 및 방법(APPARATUS AND METHOD FOR PROCESSING A SUBSTRATE EDGE REGION) "(서류번호 제 11997.L03호)이고 2007년 10월 26일에 출원된 미국 가특허 출원 제 60/982,993호이며, 이는 인용에 의해 본원에 포함된다.
상술된 바와 같이, 처리 시스템(200)은 이와 같이 다중 기판들을 동시에 처리하도록 기판 에지 처리 성능들을 통합할 수 있다. 도 2a에 도시된 처리 시스템의 각각의 이중 공정 챔버는 증착 성능 및 에지 에칭 성능 모두를 포함하는 것으로서 설명되었지만, 다른 처리 시스템들이 처리 챔버들의 다양한 조합들로 통합될 수 있으며, 여기에서 에치 처리 기능은 독립형 챔버에서 실시될 수 있다. 에지 처리 기능을 실시하는 독립형 공정 챔버들을 구비한 기판 처리 시스템들의 예들은 도 3a, 도 3b, 및 도 4와 관련하여 이후 설명된다.
도 3a는 기판 처리 시스템(200a)의 또 다른 실시예를 보여주는 개략도이다. 도 2a에 도시된 실시예와 같이, 처리 시스템(200a)은 공장 인터페이스(210), 및 기판들을 취급하기 위한 로봇(272)을 수용하는 기판 이송 챔버(270)를 포함하며, 공장 인터페이스에서 기판들이 로드록 챔버(240a, 240b)들 내로 로딩되고 로드록 챔버들로부터 언로딩된다. 그러나, 증착 공정 및 에지 에칭 공정들 모두가 통합되는 이중 공정 챔버들 대신에, 시스템(200a)은 에지 에칭 공정들 만을 실시하는 이중 공정 챔버들을 포함한다. 더욱 상세하게는, 이중 공정 챔버(420)들은 에지 에칭 공정들을 실시하도록 구성된다.
이중 공정 챔버(420)는 이중 공정 챔버(410) 내에서 처리되는 기판을 수용한다. 처리된 기판들은 에지 구역들을 가지며 에지 구역에서 증착 필름들의 부분들이 이중 공정 챔버(420)에서 에칭되도록 한다. 이중 공정 챔버(420)를 위한 적절한 구조물은 도 2c 내에 도시된 이중 공정 챔버(300)와 유사할 수 있다. 기판 에지 구역들을 처리하기 위해 구성된 이중 공정 챔버(420)들의 선택적인 실시예들의 예들은 또한 발명의 명칭이 " 기판 에지 구역을 처리하기 위한 장치 및 방법(APPARATUS AND METHOD FOR PROCESSING A SUBSTRATE EDGE REGION) "(대리인 서류번호 제 11997.L03호)이고 2007년 10월 26일에 출원된 미국 가특허 출원 제 60/982,993호에서 설명되는데, 이는 인용에 의해 본원에 포함된다. 예를 들면, 상기 미국 가특허 출원의 도 3a 내지 도 3c에 도시된 바와 같이, 기판의 에지 구역에서 플라즈마 에칭 작용제를 생성하기 위해 이용되는 플라즈마 발생기는 공정 챔버의 가스 분배 조립체에 결합될 수 있다. 이어서 가스 분배 조립체 내부에 형성된 플라즈마 에칭 작용제는 가스 분배판을 통하여 기판의 에지 구역으로 전달될 수 있다. 상기 미국 가특허 출원의 도 2a 및 도 2b에 도시된 선택적인 실시예들에서, 플라즈마 에칭 작용제는 원격 플라즈마 소스로부터 제공될 수 있으며, 가스 분배 조립체를 통하여 기판의 에지 구역으로 전달될 수 있다.
일 실시예에서, 처리 시스템(200a)은 기판들을 공장 인터페이스(210)로부터 로드록 챔버(240a)로 로딩함으로써 복수의 기판들을 처리하기 위해 작동가능할 수 있다. 기판-취급 로봇(272)은 이어서 에지 에칭을 수행하기 위해 기판을 로드록 챔버(240a)로부터 이중 공정 챔버(420)로 이송할 수 있다. 모든 필수 반도체 공정들이 완료된 후, 기판-취급 로봇(272)은 최종적으로 처리된 기판들을 진공 환경으로부터 로드록 챔버(240b)를 경유하여 공장 인터페이스(210)로 이송할 수 있다.
도 3b는 기판 처리 시스템(400)의 다른 실시예를 보여주는 개략도이다. 도 2a에 도시된 실시예와 같이, 처리 시스템(400)은 공장 인터페이스(210), 및 기판들을 취급하기 위한 로봇(272)을 수용하는 기판 이송 챔버(270)를 포함하는데, 공장 인터페이스에서는 기판들이 로드록 챔버(240a, 240b)들 내로 로딩되고 로드록 챔버들로부터 언로딩된다. 그러나, 증착 공정 및 에지 에칭 공정들 모두를 통합하는 이중 공정 챔버들 대신에, 기판 처리 시스템(400)은 증착 공정 및 에지 에칭 공정들을 개별적으로 실시하는 이중 공정 챔버들을 포함한다. 더욱 상세하게는, 이중 공정 챔버(410)들은 증착 공정들을 실시하도록 구성되고, 이중 공정 챔버(420)들은 에지 에칭 공정들을 실시하도록 구성된다.
각각의 이중 공정 챔버(410)는 기판들 상에 다양한 증착 필름들을 형성하기 위해 CVD 공정들을 실시하도록 구성된다. 각각의 이중 공정 챔버(410)는 두 개 또는 그 초과의 처리 구역들을 가질 수 있으며, 이 처리 구역들은 서로 격리가능하고 공통 가스 공급원 및 공통 배기 펌프를 공유한다. 각각의 이중 공정 챔버(410) 내의 처리 구역들은 각각의 처리 구역에서 기판 표면 상에 균일한 플라즈마 밀도를 제공하기 위해 개별 가스 분배 조립체들 및 RF 전원들을 포함한다. 이에 의해 각각의 이중 공정 챔버(410)는 다중의, 격리된 공정들이 처리 구역들 내에서 두 개 이상의 기판들 상에 동시에 수행되도록 구성된다. 증착 공정들을 위해 적용되는 이중 공정 챔버들의 예들은 발명의 명칭이 " 초고 처리량 웨이퍼 진공 처리 시스템(ULTRA HIGH THROUGHPUT WAFER VACUUM PROCESSING SYSTEM) "인 미국 특허 제 5,855,681호에 공개되며, 이는 인용에 의해 본원에 포함된다.
일 실시예에서, 처리 시스템(400)은 기판들을 공장 인터페이스(210)로부터 로드록 챔버(240a)로 로딩함으로써 복수의 기판들을 처리하기 위해 작동가능할 수 있다. 이어서 기판-취급 로봇(272)은 하나 또는 그 초과의 증착 공정들을 수행하기 위해 기판들을 로드록 챔버(240a)로부터 하나의 이중 공정 챔버(410) 내로 이송할 수 있다. 기판들의 에지 구역에 형성된 증착 필름의 원하지 않는 부분들을 제거하도록, 기판-취급 로봇(272)은 에지 에칭을 수행하도록 처리된 기판들을 이중 공정 챔버(410)들 중 하나의 공정 챔버로부터 이중 공정 챔버(420)로 이송할 수 있다. 모든 필수 반도체 공정들이 완료된 후, 기판-취급 로봇(272)은 최종적으로 처리된 기판들을 진공 환경으로부터 로드록 챔버(240b)를 경유하여 공장 인터페이스(210)로 이송할 수 있다.
도 4는 기판 처리 시스템(500)의 또 다른 실시예를 보여주는 개략도이다. 처리 시스템(500)은 처리 시스템(500)이 증착 공정을 실시하는 더 많은 이중 공정 챔버(410)들, 예를 들면 도 3b의 실시예에서의 두 개의 이중 공정 챔버 대신 3개의 이중 공정 챔버(410)들이 결합된다는 점에서 도 3에 도시된 실시예와 상이하다. 기판 에지 처리 기능은 이송 챔버(270)와 공장 인터페이스(210) 사이에 배치되는 독립 에지 에칭 챔버(430)에서 실시된다. 기판들은 이송 챔버(270)로부터 슬릿 밸브(432)를 경유하여 에지 에칭 챔버(430)로 이송될 수 있다. 일 실시예에서, 에칭된 기판은 에지 에칭 챔버(430)로부터 슬릿 밸브(434)를 경유하여 공장 인터페이스(210)로 이송될 수 있다.
일 실시예에서, 에지 에칭 챔버(430) 및 로드록 챔버(240)는 도 6b에 도시된 에지 에치-로드 록 콤보(edge etch-load lock combo)와 유사하게 함께 수직으로 적층될 수 있다.
도 5는 에지 에칭 챔버(430)의 일 실시예를 예시하는 개략적인 횡단면도이다. 에지 에칭 챔버(430)는 기판(452)을 지지하도록 구성된 기판 지지부(470)를 포함할 수 있다. 기판 지지부(470)는 기판(452)을 로딩 및 언로딩하기 위해 수직하게 가동 가능한 스템(454)에 결합된다. 에지 에칭 챔버(430)의 측벽(456)에는 라이너(458)가 제공될 수 있으며, 라이너는 챔버(430)의 내부 용적을 배기하기 위해 진공 펌프(460)에 결합된다. 덮개 조립체(462)는 챔버(430)의 내부 용적의 상방을 한정한다. 덮개 조립체(462)의 내측부는 외벽(466), 내벽(468), 및 바닥(450)을 가지는 가스 분배 보울(gas distribution bowl; 464)에 결합된다. 바닥(450)의 주변 영역은 복수의 슬릿(472)들을 포함하며, 복수의 슬릿은 플라즈마 상태의 에칭 작용제를 원격 플라즈마 소스("RPS")(474)로부터 기판(452)의 에지 구역으로 전달한다. 가스 도관(476)은 내벽(468)에 의해 범위가 형성되고 바닥(450)의 중앙 영역에서 개방된다. 가스 도관(476)은 불활성 가스를 기판(452)의 중앙 영역을 향하여 전달하기 위해 퍼지 가스 소스(478)에 결합된다. 가스 도관(476)을 따라 제공된 가스 유동은 기판(452)의 처리되지 않은 구역들을 보호하기 위해 중앙으로부터 에지로 형성된다. 에지 에칭 챔버(430)의 전술된 및 다른 실시예들의 더욱 상세한 설명은 발명의 명칭이 " 기판 에지 구역을 처리하기 위한 장치 및 방법(APPARATUS AND METHOD FOR PROCESSING A SUBSTRATE EDGE REGION) "(대리인 서류번호 제 11997.L03호)이고 2007년 10월 26일에 출원된 미국 가특허 출원 제 60/982,993호에서 설명되고 이는 인용에 의해 본원에 포함된다.
도 4를 다시 참조하면, 작동 중, 처리 시스템(500)은 공장 인터페이스(210)로부터 로드록 챔버(240)로 처리하기 위해서 복수의 기판들을 로딩할 수 있다. 기판-취급 로봇(272)은 이어서 하나 또는 그 초과의 증착 공정들을 수행하도록 기판들을 로드록 챔버(240)로부터 이중 공정 챔버(410)들 중 하나의 이중 공정 챔버로 이송할 수 있다. 기판들의 에지 구역에 형성된 증착 필름의 원하지 않는 부분들을 제거하도록, 기판-취급 로봇(272)은 에지 에칭을 수행하기 위해 처리된 기판들을 하나의 이중 공정 챔버(410)로부터 에지 에칭 챔버(430)로 이송할 수 있다. 에지 처리 작업이 완료된 후, 처리된 기판은 추가의 증착을 수행하기 위해 이중 공정 챔버(410)들 중 하나의 이중 공정 챔버로 역으로 이송될 수 있거나, 로드록 챔버(240)를 경유하여 외부로 공장 인터페이스(210)로 이송될 수 있다.
에지 에칭 챔버가 더 많은 기판들을 동시에 처리하도록 구성될 수 있다는 것에 주목할 가치가 있다. 도 6a는 에지 에칭 챔버(480)의 선택적인 일 실시예를 보여주는 개략적인 횡단면도인데, 에지 에칭 챔버는 두 개 이상의 기판(452)들을 동시에 처리하도록 서로 위에 적층된 두 개의 처리 구역(486)들을 가진다. 각각의 처리 구역(486)들은 도 5에 도시된 에지 에칭 챔버(430)의 내부와 유사하게 구성될 수 있으며, 하나의 기판 지지부(470) 및 하나의 가스 보울(464)을 가진다. 각각의 가스 보울(464)은 기판 위의 증착 필름의 부분들을 제거하기 위해 기판의 에지 구역에 플라즈마 에칭 작용제를 전달하도록 구성되는 슬릿(472)들을 가진다.
도 6b는 로드록 구역(486a) 위에 적층된 하나의 처리 구역(486)을 가지는 에지 에칭-로드록 콤보(edge etching-loadlock combo; 480a)를 보여주는 개략적인 횡단면도이다. 처리 구역(486)은 도 5에 도시된 에지 에칭 챔버(430)의 내부와 유사하게 구성되며, 하나의 기판 지지부(470) 및 하나의 가스 보울(464)을 가진다. 각각의 가스 보울(464)은 기판 위의 증착 필름의 부분들을 제거하기 위해 플라즈마 에칭 작용제를 기판의 에지 구역으로 전달하도록 구성되는 슬릿(472)들을 가진다. 에지 에칭-로드록 콤보(480a)는 공장 인터페이스와 이송 챔버 사이에 위치될 수 있다.
선택적인 실시예들에서, 기판 에지 에칭 기능은 또한 도 7a, 도 7b, 및 도 8에 도시된 바와 같이, 공장 인터페이스 및 독립 에지 에칭 챔버로 이루어지는 에지 에칭 장치의 형태로 더욱 간단하게 제공될 수 있다.
도 7a에서, 에지 에칭 장치(602)는 공장 인터페이스(604) 및 독립 에지 에칭 챔버(606)를 포함한다. 처리되어야 하는 기판은 에지 에칭을 수행하도록 공장 인터페이스(604)로부터 에지 에칭 챔버(606)로 로딩된다. 기판이 처리되면, 이어서 기판은 에지 에칭 챔버(606)로부터 역으로 공장 인터페이스(604)로 언로딩될 수 있다.
도 7b에서, 에지 에칭 장치(608)는 로드록 챔버(610)를 경유하여 독립 에지 에칭 챔버(606)로 연결되는 공장 인터페이스(604)를 포함한다.
도 8은 에지 에칭 장치(612)의 다른 실시예를 도시하며, 도 8에서 이중 에지 에칭 챔버(614)가 공장 인터페이스(604)에 결합된다. 이중 에지 에칭 챔버(614)는 두 개 이상의 기판들을 동시에 처리하도록 구성되는 두 개의 처리 구역들을 가진다. 처리 구역들 각각에서 처리되는 각각의 기판은 개별적으로 공장 인터페이스(604)로부터 로딩되고 공장 인터페이스로 언로딩될 수 있다.
상술된 바와 같이, 본 명세서에서 설명된 처리 시스템들 및 방법들은 이에 따라 다중 기판들을 동시에 처리하기 위해 기판 에지 처리 성능들을 통합할 수 있다. 따라서 기판 에지 처리 성능들과 조합된 높은 처리량 처리가 유용하게 달성될 수 있다.
전술된 것은 본 발명의 소정의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가의 실시예들이 본 발명의 기본 범위로부터 벗어나지 않으면서 발명될 수 있으며, 본 발명의 범위는 아래의 청구범위들에 의해 결정된다.

Claims (15)

  1. 다중 기판들을 처리하기 위한 장치로서,
    로드록 챔버;
    상기 로드록 챔버에 결합되는 이송 챔버; 및
    상기 이송 챔버에 결합되는 하나 또는 그 초과의 이중 공정 챔버들 ― 상기 이중 공정 챔버들 각각은 복수의 개별 처리 구역들을 정의함 ―;
    을 포함하며,
    각각의 개별 처리 구역은:
    기판 지지면을 가지는 기판 지지부;
    가스 보울을 포함하는 가스 전달 조립체 ― 상기 가스 보울은 외벽; 내벽; 및 상기 기판 지지면을 향하는 바닥을 포함하고, 상기 외벽, 상기 내벽 및 상기 바닥은 제 1 유입 포트에 연결되는 제 1 플레넘을 형성하고; 상기 바닥의 주변 구역을 통하여 복수의 슬릿들이 형성되어, 상기 제 1 플레넘을 상기 처리 구역에 연결하고; 상기 내벽 및 상기 바닥의 천공 부분은, 상기 제 1 플레넘에 의해 둘러싸이고 제 2 유입 포트에 연결되는 제 2 플레넘을 형성하고; 상기 바닥의 상기 천공 부분을 통하여 형성되는 복수의 통공(aperture)들이 상기 제 2 플레넘을 상기 처리 구역에 연결함 ― ; 및
    무선 주파수 전원에 결합된 전극을 포함하는 플라즈마 발생기 ― 상기 전극은 절연체 재료들 내에 끼워지고, 상기 기판 지지면의 주변 구역 근처에 위치되는 단부를 갖고, 그리고 상기 전극은 상기 기판 지지면의 주변 구역 근처에서 상기 제 1 플레넘으로부터의 공정 가스에 플라즈마를 점화(strike)하도록 구성됨 ― ;
    를 포함하는,
    다중 기판들을 처리하기 위한 장치.
  2. 제 1 항에 있어서,
    상기 전극과 상기 가스 전달 조립체 또는 상기 기판 지지면 사이의 거리는 상이한 위치들에서 달라지는,
    다중 기판들을 처리하기 위한 장치.
  3. 제 2 항에 있어서,
    상기 전극은 상기 기판 지지면의 주변 구역 및 상기 가스 전달 조립체의 상기 외벽 근처에 위치되는 경사 단부를 포함하는,
    다중 기판들을 처리하기 위한 장치.
  4. 제 3 항에 있어서,
    상기 전극은 상기 가스 전달 조립체의 상기 제 1 플레넘 내부에 배치되는 부분을 포함하는,
    다중 기판들을 처리하기 위한 장치.
  5. 제 3 항에 있어서,
    상기 기판 지지부는 접지 전위, DC 전위 및 AC 전위 중 하나에 결합되는,
    다중 기판들을 처리하기 위한 장치.
  6. 제 3 항에 있어서,
    상기 플라즈마 발생기의 상기 전극의 상기 경사 단부 부분은 상기 가스 전달 조립체 외부에 배열되는,
    다중 기판들을 처리하기 위한 장치.
  7. 제 1 항에 있어서,
    상기 가스 전달 조립체의 상기 제 1 유입 포트는 상기 플라즈마 발생기에 에칭 가스를 전달하기 위해 에칭 가스 소스에 결합되는,
    다중 기판들을 처리하기 위한 장치.
  8. 제 7 항에 있어서,
    상기 가스 전달 조립체의 상기 제 2 플레넘은, 각각의 처리 구역에 배치된 기판 상에 증착 필름을 형성하기 위한 공정 가스를 공급하도록 구성되는 가스 소스에 결합되는,
    다중 기판들을 처리하기 위한 장치.
  9. 제 1 항에 있어서,
    상기 가스 전달 조립체는 접지 전위, DC 전위 또는 AC 전위 중 하나에 결합되는,
    다중 기판들을 처리하기 위한 장치.
  10. 제 1 항에 있어서,
    상기 가스 전달 조립체의 상기 바닥은, 상기 기판 지지부에 걸쳐 공정 가스를 균일하게 분배하도록 구성된 가스 분배판인,
    다중 기판들을 처리하기 위한 장치.
  11. 제 1 항에 있어서,
    상기 복수의 슬릿들은 상기 기판 지지면의 중앙 구역을 향한 가스 유동을 피하도록 외측방으로 각도를 형성하는(angled),
    다중 기판들을 처리하기 위한 장치.
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
KR1020107003210A 2007-07-12 2008-07-09 플라즈마 강화 화학적 기상 증착 및 경사형 에지 에칭을 위한 시스템 KR101354571B1 (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US94939707P 2007-07-12 2007-07-12
US60/949,397 2007-07-12
US98296107P 2007-10-26 2007-10-26
US98299307P 2007-10-26 2007-10-26
US60/982,993 2007-10-26
US60/982,961 2007-10-26
US12/106,881 2008-04-21
US12/106,881 US8197636B2 (en) 2007-07-12 2008-04-21 Systems for plasma enhanced chemical vapor deposition and bevel edge etching
PCT/US2008/069551 WO2009009611A2 (en) 2007-07-12 2008-07-09 Systems for plasma enhanced chemical vapor deposition and bevel edge etching

Publications (2)

Publication Number Publication Date
KR20100035177A KR20100035177A (ko) 2010-04-02
KR101354571B1 true KR101354571B1 (ko) 2014-01-23

Family

ID=40229465

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107003210A KR101354571B1 (ko) 2007-07-12 2008-07-09 플라즈마 강화 화학적 기상 증착 및 경사형 에지 에칭을 위한 시스템

Country Status (5)

Country Link
US (2) US8197636B2 (ko)
KR (1) KR101354571B1 (ko)
CN (1) CN101743341B (ko)
TW (1) TW200926285A (ko)
WO (1) WO2009009611A2 (ko)

Families Citing this family (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
KR101796656B1 (ko) 2010-04-30 2017-11-13 어플라이드 머티어리얼스, 인코포레이티드 수직 인라인 화학기상증착 시스템
US20110265884A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120088370A1 (en) * 2010-10-06 2012-04-12 Lam Research Corporation Substrate Processing System with Multiple Processing Devices Deployed in Shared Ambient Environment and Associated Methods
US8501283B2 (en) * 2010-10-19 2013-08-06 Lam Research Corporation Methods for depositing bevel protective film
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9490152B2 (en) * 2012-05-29 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetrical chamber configuration
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
CN103578904B (zh) * 2012-07-18 2016-05-25 中微半导体设备(上海)有限公司 一种用于多腔室等离子处理装置的减少颗粒污染的方法
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN102832096B (zh) * 2012-09-20 2015-11-25 中微半导体设备(上海)有限公司 一种用于真空处理装置的气体供应装置及其气体供应及切换方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10847391B2 (en) 2013-03-12 2020-11-24 Applied Materials, Inc. Semiconductor device manufacturing platform with single and twinned processing chambers
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2015013266A1 (en) * 2013-07-24 2015-01-29 Applied Materials, Inc Cobalt substrate processing systems, apparatus, and methods
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP6158025B2 (ja) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー 成膜装置及び成膜方法
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
CN104616956B (zh) * 2013-11-05 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀设备及方法
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
CN105742183B (zh) * 2014-12-10 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10337105B2 (en) * 2016-01-13 2019-07-02 Mks Instruments, Inc. Method and apparatus for valve deposition cleaning and prevention by plasma discharge
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10741428B2 (en) * 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10276364B2 (en) * 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202001977A (zh) * 2018-06-08 2020-01-01 財團法人工業技術研究院 處理基板邊緣缺陷之電漿系統及使用此系統之處理方法
US11309404B2 (en) * 2018-07-05 2022-04-19 Applied Materials, Inc. Integrated CMOS source drain formation with advanced control
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102581541B1 (ko) 2019-07-22 2023-09-21 삼성전자주식회사 웨이퍼 측정 장치
KR20210024319A (ko) 2019-08-21 2021-03-05 삼성전자주식회사 웨이퍼 검사장치
US11139168B2 (en) 2019-12-02 2021-10-05 Applied Materials, Inc. Chamber deposition and etch process
GB202001781D0 (en) * 2020-02-10 2020-03-25 Spts Technologies Ltd Pe-Cvd apparatus and method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5532190A (en) * 1994-05-26 1996-07-02 U.S. Philips Corporation Plasma treatment method in electronic device manufacture
KR20010082111A (ko) * 2000-02-10 2001-08-29 조셉 제이. 스위니 Pecvd 캡핑 모듈을 포함하는, 유전상수가 낮은유전체 증착을 위한 통합형 장비 및 프로세스
US6294026B1 (en) 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
KR20050049903A (ko) * 2003-11-24 2005-05-27 세메스 주식회사 웨이퍼 에지 식각 장치

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4657618A (en) * 1984-10-22 1987-04-14 Texas Instruments Incorporated Powered load lock electrode/substrate assembly including robot arm, optimized for plasma process uniformity and rate
US5871811A (en) 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
JPH08225947A (ja) 1994-12-16 1996-09-03 Canon Inc プラズマ処理方法及びプラズマ処理装置
JP3521587B2 (ja) * 1995-02-07 2004-04-19 セイコーエプソン株式会社 基板周縁の不要物除去方法及び装置並びにそれを用いた塗布方法
JP3831043B2 (ja) 1997-01-24 2006-10-11 東京エレクトロン株式会社 回転処理装置
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6167893B1 (en) 1999-02-09 2001-01-02 Novellus Systems, Inc. Dynamic chuck for semiconductor wafer or other substrate
TW452917B (en) 1999-10-29 2001-09-01 Winbond Electronics Corp Holder
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
KR101218114B1 (ko) 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
KR101545525B1 (ko) * 2007-07-12 2015-08-19 어플라이드 머티어리얼스, 인코포레이티드 기판 에지 구역을 프로세싱하기 위한 장치 및 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5532190A (en) * 1994-05-26 1996-07-02 U.S. Philips Corporation Plasma treatment method in electronic device manufacture
US6294026B1 (en) 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
KR20010082111A (ko) * 2000-02-10 2001-08-29 조셉 제이. 스위니 Pecvd 캡핑 모듈을 포함하는, 유전상수가 낮은유전체 증착을 위한 통합형 장비 및 프로세스
KR20050049903A (ko) * 2003-11-24 2005-05-27 세메스 주식회사 웨이퍼 에지 식각 장치

Also Published As

Publication number Publication date
TW200926285A (en) 2009-06-16
CN101743341B (zh) 2013-09-18
US20120211164A1 (en) 2012-08-23
US20090014127A1 (en) 2009-01-15
US8197636B2 (en) 2012-06-12
WO2009009611A2 (en) 2009-01-15
KR20100035177A (ko) 2010-04-02
CN101743341A (zh) 2010-06-16

Similar Documents

Publication Publication Date Title
KR101354571B1 (ko) 플라즈마 강화 화학적 기상 증착 및 경사형 에지 에칭을 위한 시스템
KR100929279B1 (ko) 경사면 증착을 줄이기 위한 하드웨어 개발
US8435902B2 (en) Invertable pattern loading with dry etch
TWI480922B (zh) 表面處理之氮化鋁擋板
KR101545525B1 (ko) 기판 에지 구역을 프로세싱하기 위한 장치 및 방법
TWI393800B (zh) 支持組件
US20120285621A1 (en) Semiconductor chamber apparatus for dielectric processing
TWI763653B (zh) 基板處理裝置
JP6062413B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
US20130287529A1 (en) Method and apparatus for independent wafer handling
JP4237833B2 (ja) プロセスチャンバクリーニング中にセラミックウエハを用いてサセプタを保護する方法及び装置
KR102350991B1 (ko) 경사면 에칭 프로파일 제어
US11056367B2 (en) Buffer unit, and apparatus for treating substrate with the unit
US20230298870A1 (en) Chamber configurations and processes for particle control
KR20230085191A (ko) 베벨 증착 감소를 위한 후면 가스 누출
US20070202694A1 (en) Method of forming a layer and method of removing reaction by-products
TW202224061A (zh) 處理腔室沉積限制
KR20210008549A (ko) 버퍼 유닛, 그리고 이를 가지는 기판 처리 장치 및 방법
JP2004339566A (ja) 基板処理装置
TWI798856B (zh) 用於斜面背部沉積消除的半導體處理系統及方法
US20240105470A1 (en) Substrate processing apparatus and semiconductor device manufacturing method using the same
JP2009260022A (ja) 基板処理ユニットおよび基板処理装置
CN115362283A (zh) 基板处理设备及方法
TW202224088A (zh) 半導體處理腔室的覆蓋晶圓
JP2002222795A (ja) ドライエッチング装置

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180110

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190102

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20200102

Year of fee payment: 7