KR102350991B1 - 경사면 에칭 프로파일 제어 - Google Patents

경사면 에칭 프로파일 제어 Download PDF

Info

Publication number
KR102350991B1
KR102350991B1 KR1020197036062A KR20197036062A KR102350991B1 KR 102350991 B1 KR102350991 B1 KR 102350991B1 KR 1020197036062 A KR1020197036062 A KR 1020197036062A KR 20197036062 A KR20197036062 A KR 20197036062A KR 102350991 B1 KR102350991 B1 KR 102350991B1
Authority
KR
South Korea
Prior art keywords
substrate
mask
edge
processing method
support assembly
Prior art date
Application number
KR1020197036062A
Other languages
English (en)
Other versions
KR20190138319A (ko
Inventor
종휘 수
비네이 프라바칼
압둘 아지즈 카자
정민 이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190138319A publication Critical patent/KR20190138319A/ko
Application granted granted Critical
Publication of KR102350991B1 publication Critical patent/KR102350991B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Optics & Photonics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

경사면 에칭 프로세싱을 위한 방법들 및 장치들이 제공되며, 프로세싱 챔버에 기판을 배치하는 것을 포함하고, 프로세싱 챔버는 기판 위에 배치되는 마스크를 포함하고, 여기서, 기판은 에지 및 중심부를 갖고, 증착된 층을 포함한다. 방법은, 마스크의 외측 표면을 따라 그리고 기판의 에지로 플라즈마를 유동시키는 단계; 및 플라즈마에 에지를 노출시키고, 플라즈마를 이용하여 에지로부터 증착된 층을 에칭하는 단계를 포함한다. 방법은, 마스크의 내측 표면 상에 포지셔닝된 2개 이상의 개구들로부터 그리고 기판의 중심부로 퍼지 가스를 유동시키는 단계; 및 중심부에 있는 기판의 상부 표면을 퍼지 가스에 노출시키고, 중심부로부터 상부 표면을 따라 에지 쪽으로 퍼지 가스를 반경방향으로 유동시켜서, 플라즈마와 퍼지 가스의 인터페이스에서 에칭 프로파일을 형성하는 단계를 더 포함한다.

Description

경사면 에칭 프로파일 제어
[0001] 본원에서 설명되는 실시예들은 일반적으로, 기판을 프로세싱하기 위한 방법들 및 장치에 관한 것이다. 더 구체적으로, 본원에서 설명되는 실시예들은 경사면(bevel) 에칭 프로세싱을 위한 방법들 및 장치에 관한 것이다.
[0002] 플라즈마 프로세싱은 통상적으로, 집적 회로들, 평판 디스플레이들, 자기 매체들, 및 다른 디바이스들을 제조하기 위한 다수의 반도체 제작 프로세스들을 위해 사용된다. 플라즈마 또는 이온화된 가스가 원격 플라즈마 소스(RPS) 내부에서 생성되고, 프로세싱 챔버 내로 유동된 후에, 워크피스(workpiece)에 적용되어, 프로세스, 이를테면 증착, 에칭, 또는 주입이 달성된다.
[0003] 그러나, 기판의 경사 및 직선(straight) 에지들, 이를테면 기판의 측면들 및 코너들은, 프로세싱 동안, 기판의 다른 부분들에서 겪게 되는 조건들과 상이할 수 있는 조건들을 겪는다. 이들 상이한 조건들은 프로세싱 파라미터들, 이를테면, 막 두께, 에칭 균일성, 및/또는 막 응력에 영향을 미친다. 기판의 중심부와 에지들 사이의 에칭 레이트 및/또는 막 특성, 이를테면 막 두께 또는 응력의 차이가 현저하게 되어, 디바이스들이 최적이 아닌 특성들을 갖게 할 수 있다.
[0004] 따라서, 경사면 에칭 프로세싱을 위한 개선된 방법 및 장치가 본 기술분야에서 필요하다.
[0005] 본원에서 설명 및 논의되는 실시예들은 일반적으로, 기판을 프로세싱하기 위한 방법들 및 장치들에 관한 것이다. 더 구체적으로, 실시예들은 경사면 에칭 프로세싱을 위한 방법들 및 장치들에 관한 것이다. 하나 이상의 실시예들에서, 방법은, 프로세싱 챔버 내부에서 커버 플레이트 상에 기판을 배치하는 단계를 포함하며, 여기서, 기판은 에지(예컨대, 경사 또는 직선 에지) 및 중심부를 갖고, 에지 및 중심부 상에 증착된 층을 포함한다. 프로세싱 챔버는 기판 위에 배치된 마스크를 포함한다. 방법은 또한, 마스크의 외측 표면을 따라 그리고 기판의 에지로 플라즈마를 유동시키는 단계; 및 에지에 있는 기판의 상부 표면을 플라즈마에 노출시키고, 플라즈마를 이용하여 에지로부터 증착된 층을 에칭하는 단계를 포함한다. 방법은, 마스크의 내측 표면 상에 포지셔닝된 2개 이상의 개구들로부터 그리고 기판의 중심부로 퍼지 가스를 유동시키는 단계; 및 중심부에 있는 기판의 상부 표면을 퍼지 가스에 노출시키고, 중심부로부터 상부 표면을 따라 에지 쪽으로 퍼지 가스를 반경방향으로 유동시켜서, 플라즈마와 퍼지 가스의 인터페이스(interface)에서 에칭 프로파일을 형성하는 단계를 더 포함한다.
[0006] 다른 실시예들에서, 방법은, 프로세싱 챔버 내에 기판을 배치하는 단계를 포함하며, 여기서, 기판은 에지(예컨대, 경사 또는 직선 에지) 및 중심부를 갖고, 에지 및 중심부 상에 증착된 층을 포함한다. 프로세싱 챔버는 또한, 기판 주위에 그리고 아래에 배치된 에지 링, 및 기판 위에 배치된 마스크를 포함한다. 방법은 또한, 마스크의 외측 표면을 따라 그리고 기판의 에지로 플라즈마를 유동시키는 단계; 및 에지에 있는 기판의 상부 표면을 플라즈마에 노출시키고, 플라즈마를 이용하여 에지로부터 증착된 층을 에칭하는 단계를 포함한다. 방법은, 마스크의 내측 표면 상에 포지셔닝된 2개 이상의 개구들로부터 그리고 기판의 중심부로 퍼지 가스를 유동시키는 단계; 및 중심부에 있는 기판의 상부 표면을 퍼지 가스에 노출시키고, 중심부로부터 상부 표면을 따라 에지 쪽으로 퍼지 가스를 반경방향으로 유동시켜서, 플라즈마와 퍼지 가스의 인터페이스에서 에칭 프로파일을 형성하는 단계를 더 포함한다. 또한, 방법은, 플라즈마 노출에 의해 에지 링을 가열하고, 에지 링으로부터 에지로 열 에너지를 전달함으로써 에지를 가열하는 단계를 포함한다.
[0007] 하나 이상의 실시예들에서, 에칭 프로세스들을 위한 프로세싱 챔버가 제공되며, 그 프로세싱 챔버는 기판 지지부; 기판 지지부 상에 배치된 커버 플레이트; 및 커버 플레이트 주위에 그리고 아래에 배치된 에지 링을 포함한다. 프로세싱 챔버는 또한, 커버 플레이트 위에 배치된 마스크를 포함하며, 마스크는 외측 표면, 내측 표면, 및 내측 표면 상에 포지셔닝된 2개 이상의 개구들을 포함한다. 프로세싱 챔버는 마스크의 외측 표면과 유체 연통하는 원격 플라즈마 시스템을 포함한다.
[0008] 하나 이상의 예들에서, 플라즈마는 하나 이상의 프로세스 가스들로부터 점화되거나 또는 다른 방식으로 생성되며, 방법은, 적어도, 프로세스 가스의 유량, 퍼지 가스의 유량, 또는 이들 유량들 둘 모두를 변화시킴으로써 에칭 프로파일을 조정하는 단계를 더 포함한다. 마스크의 내측 표면 상에 포지셔닝된 2개 이상의 개구들은 3개, 4개, 5개, 또는 그 이상의 개구들을 포함할 수 있다. 프로세스 가스는 N2, O2, NF3, Ar, He, 또는 이들의 임의의 조합일 수 있거나, 또는 이들을 포함할 수 있으며, 퍼지 가스는 Ar, He, N2, 또는 이들의 임의의 조합일 수 있거나, 또는 이들을 포함할 수 있다.
[0009] 일부 예들에서, 커버 플레이트는 기판 지지부 상에 배치될 수 있으며, 커버 플레이트는 스캘럽형(scalloped) 에지들을 가질 수 있고, 그리고/또는 커버 플레이트는 중심 애퍼처(aperture)를 둘러싸는 복수의 개구들을 포함할 수 있다. 다른 예들에서, 기판과 마스크 사이의 거리는 100 mil 미만일 수 있으며, 에지는 약 0.5 mm 내지 약 5 mm, 이를테면 1.5 mm 미만의 반경방향 폭을 가질 수 있다.
[0010] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1은 본원에서 논의 및 설명되는 하나 이상의 실시예들에 따른 프로세싱 챔버(100)의 개략적인 단면도를 예시한다.
[0012] 도 2는 본원에서 논의 및 설명되는 하나 이상의 실시예들에 따른, 도 1의 챔버에서 활용되는 마스크의 개략적인 저면도를 예시한다.
[0013] 도 3은 본원에서 논의 및 설명되는 하나 이상의 실시예들에 따른, 도 1의 챔버에서 활용되는 에지 링의 개략적인 저면도를 예시한다.
[0014] 도 4는 본원에서 논의 및 설명되는 하나 이상의 실시예들에 따른, 도 1의 챔버에서 활용되는 커버 플레이트의 개략적인 평면도를 예시한다.
[0015] 본원에서 설명되는 실시예들은 일반적으로, 기판을 프로세싱하기 위한 방법들 및 장치에 관한 것이다. 더 구체적으로, 본원에서 논의 및 설명되는 실시예들은 경사면 에칭 프로세싱을 위한 방법들 및 장치에 관한 것이다. 하나 이상의 실시예들에서, 방법은, 프로세싱 챔버 내부에 기판을 배치하는 단계를 포함하며, 여기서, 기판은 에지(예컨대, 경사 또는 직선 에지) 및 중심부를 갖고, 에지 및 중심부 상에 증착된 층을 포함한다. 프로세싱 챔버는 기판 위에 배치된 마스크를 포함한다. 방법은 또한, 마스크의 외측 표면을 따라 그리고 기판의 에지로, 하나 이상의 프로세스 가스들로부터 생성된 플라즈마를 유동시키는 단계; 및 에지에 있는 기판의 상부 표면을 플라즈마에 노출시키고, 플라즈마를 이용하여 에지로부터 증착된 층을 에칭하는 단계를 포함한다. 방법은, 마스크의 내측 표면 상에 포지셔닝된 2개, 3개, 4개, 또는 그 이상의 개구들, 홀들, 또는 포트들로부터 그리고 기판의 중심부로 퍼지 가스(예컨대, Ar)를 유동시키는 단계; 및 중심부에 있는 기판의 상부 표면을 퍼지 가스에 노출시키고, 중심부로부터 상부 표면을 따라 에지 쪽으로 퍼지 가스를 반경방향으로 유동시켜서, 플라즈마와 퍼지 가스의 인터페이스에서 에칭 프로파일을 형성하는 단계를 더 포함한다.
[0016] 도 1은 본 개시내용의 일 양상에 따른 프로세싱 챔버(100)의 개략적인 단면도를 예시한다. 도시된 바와 같이, 프로세싱 챔버(100)는 기판, 이를테면 기판(154)을 에칭하는 데 적합한 에칭 챔버이다. 본 개시내용의 예시적인 양상들로부터 이익을 얻도록 적응될 수 있는 프로세싱 챔버들의 예들은, Producer® 프로세싱 챔버 및 PrecisionTM 프로세싱 챔버(이들은 캘리포니아, 산타클라라에 위치된 어플라이드 머티어리얼스, 인코포레이티드로부터 상업적으로 입수가능함)이다. 다른 제조자들로부터의 프로세싱 챔버들을 포함하는 다른 프로세싱 챔버들이 본 개시내용의 양상들로부터 이익을 얻도록 적응될 수 있다는 것이 고려된다.
[0017] 프로세싱 챔버(100)는 다양한 플라즈마 프로세스들을 위해 사용될 수 있다. 일 양상에서, 프로세싱 챔버(100)는 하나 이상의 에칭제들을 이용하여 건식 에칭을 수행하기 위해 사용될 수 있다. 예컨대, 프로세싱 챔버는, 전구체, 이를테면, 하나 이상의 플루오로카본들(예컨대, CF4 또는 C2F6), O2, NF3, N2, 또는 이들의 조합들로부터 플라즈마를 점화시키기 위해 사용될 수 있다. 다른 실시예에서, 프로세싱 챔버(100)는 하나 이상의 화학 작용제들을 이용하는 플라즈마 강화 화학 기상 증착을 위해 사용될 수 있다.
[0018] 프로세싱 챔버(100)는 챔버 바디(102), 덮개 조립체(106), 기판 지지 조립체(104), 및 가스 유출구(160)를 포함한다. 덮개 조립체(106)는 챔버 바디(102)의 상부 단부에 포지셔닝된다. 도 1의 덮개 조립체(106) 및 기판 지지 조립체(104)는 플라즈마 또는 열 프로세싱을 위한 임의의 프로세싱 챔버와 함께 사용될 수 있다. 다른 제조자들로부터의 챔버들이 또한, 위에서 설명된 컴포넌트들과 함께 사용될 수 있다. 기판 지지 조립체(104)는 챔버 바디(102) 내부에 배치되며, 덮개 조립체(106)는 챔버 바디(102)에 커플링되고, 프로세싱 볼륨(120) 내에 기판 지지 조립체(104)를 밀폐한다. 챔버 바디(102)는 챔버 바디(102)의 측벽에 형성된 슬릿 밸브 개구(126)를 포함한다. 슬릿 밸브 개구(126)는 기판 이송을 위한 기판 핸들링 로봇(미도시)에 의한 내부 볼륨(120)으로의 접근을 가능하게 하도록 선택적으로 개방 및 폐쇄된다.
[0019] 유전체 재료, 이를테면 세라믹 또는 금속 산화물, 예컨대 알루미늄 산화물 및/또는 알루미늄 질화물일 수 있는 아이솔레이터(110)가 전극(108)과 접촉하고, 그리고 전극(108)을 가스 분배기(112) 및 챔버 바디(102)로부터 전기적으로 그리고 열적으로 분리한다. 가스 분배기(112)는 프로세싱 볼륨(120) 내에 프로세스 가스가 진입할 수 있게 하기 위한 개구들(118)을 특징으로 한다. 프로세스 가스들은 하나 이상의 도관들(114)을 통해 프로세싱 챔버(100)에 공급될 수 있고, 프로세스 가스들은 개구들을 통해 기판(154)으로 유동하기 전에 가스 혼합 구역(116)에 진입할 수 있다. 가스 분배기(112)는 원격 플라즈마 소스(RPS)에 연결될 수 있다.
[0020] 기판 지지 조립체(104)는 임의의 적합한 기판 지지부, 이를테면 진공 척, 정전 척, 또는 가열식 페데스탈일 수 있다. 하나 이상의 실시예들에서, 기판 지지부는 로드 락 설치를 위한 공간을 절약하기 위해 "L" 형상 페데스탈이다. 지지 조립체는 진공 척 라인, 가열 라인, 및 기판 지지 조립체(104)의 온도를 프로빙(probe)하는 열전대를 갖는다. 다른 실시예들에서, 기판 지지 조립체(104)는 프로세싱을 위해 기판(154)을 지지하도록 구성된다. 리프트 메커니즘은 기판 지지 조립체(104)가 챔버 바디(102) 내에서 하부 이송 포지션과 다수의 상승 프로세스 포지션들 사이에 수직으로 이동될 수 있게 한다. 기판 지지 조립체(104)는 금속성 또는 세라믹 재료, 예컨대, 금속 산화물 또는 질화물 또는 산화물/질화물 혼합물, 이를테면, 알루미늄, 알루미늄 산화물, 알루미늄 질화물, 또는 알루미늄 산화물/질화물 혼합물로 형성될 수 있다. 가열기(122)가 기판 지지 조립체(104)에 커플링될 수 있다. 가열기(122)는 기판 지지 조립체(104) 내에 매립될 수 있거나, 또는 기판 지지 조립체(104)의 표면에 커플링될 수 있다. 가열기(122)는 프로세싱 챔버(100) 외부로 연장되는 전력 소스에 커플링될 수 있다.
[0021] 반응물 블로커 또는 마스크(150)는 덮개 조립체(106)의 일부일 수 있거나, 또는 별개의 분리가능한 피스(piece)일 수 있다. 마스크(150)는 평탄한 최하부 표면을 갖는 돔 형상 바디(204)를 갖는다. 도 1에 도시된 바와 같이, 마스크(150)는 외측 표면(151) 및 내측 표면(153)을 포함한다. 마스크(150)의 외측 표면(151)은 프로세싱 챔버(100)의 상부 부분, 이를테면, 가스 분배기(112) 및 플라즈마 소스(162)(예컨대, 원격 플라즈마 소스) 쪽을 향해 있다. 플라즈마 소스(162)는 마스크(150)에 인접해 있고, 기판(154) 쪽으로 플라즈마를 지향시키기 위해 배플 또는 차폐부(164)를 포함한다. 차폐부(164)는 마스크(150) 주위로, 이를테면, 외측 표면(151) 위로 그리고 외측 표면(151)을 에워싸게 연장된다. 마스크(150)의 내측 표면(153)은 프로세싱 챔버(100)의 하부 부분, 이를테면, 기판 지지 조립체(104) 및 기판(154) 쪽을 향해 있다. 아래에서 더 설명되는 바와 같이, 마스크(150)의 외측 표면(151)은 기판(154)의 에지 쪽으로 플라즈마 및/또는 프로세스 가스를 지향시키는 것을 도우며, 마스크(150)의 내측 표면(153)은 기판(154)의 중심부 쪽으로 퍼지 가스를 지향시키는 것을 돕는다.
[0022] 도 1 및 도 2에 도시된 바와 같이, 조합될 때, 마스크(150)는 하나 이상의 도관들(114)을 수용하는 원형 애퍼처를 갖는다. 애퍼처의 중심부에서, 마스크(150)의 하부 표면을 따르는 모든 방향들로의 퍼지 가스(예컨대, Ar, He, N2, 또는 이들의 혼합물들)의 균일한 분배를 보장하는 초크(choke)를 형성하기 위해, 유출구는 2개, 3개, 또는 그 이상의 홀들, 포트들, 또는 개구들(202)을 포함한다(도 2에서 3개의 개구가 도시됨). 개구들(202)은, 퍼지 가스를 위한 하나의 개구만을 갖는 것과 대조적으로, 기판(154)의 상부 표면에 걸친 퍼지 가스의 더 균일한 유동 경로를 제공하는 2개, 3개, 4개, 5개, 또는 그 이상의 홀들을 포함할 수 있다. 하나 이상의 실시예들에서, 개구들(202)은 균일한 사이즈 및 형상으로 이루어질 수 있고, 등거리로 이격될 수 있다. 마스크(150)는, 기판(154)과 접촉하거나 또는 기판(154)에 비교적 근접하게 되도록 하강될 수 있다. 마스크(150)는 석영 또는 다른 세라믹 재료일 수 있고, 그리고 원하는 경우 Ni 또는 NiO로 코팅될 수 있거나, 또는 일부 실시예들에서는 내화학성 또는 플라즈마 내성 재료, 이를테면 이트리아 또는 이트리아 산화물로 코팅될 수 있다. 하나 이상의 실시예들에서, 둘레 주위와 같은, 마스크(150)의 최하부 표면은 니켈 코팅을 포함하며, 그 니켈 코팅은 에칭 가스 라디칼들을 재결합하기 위한 촉매이다. 마스크(150) 상의 니켈 코팅은 마스크(150)의 플레이팅(plating) 또는 보호된 영역 아래에서 에칭 가스 라디칼들이 막 상으로 유동하는 것을 감소 또는 제거한다. 따라서, 마스크(150) 상의 니켈 코팅은 플레이팅 영역 아래의 막을 보존하는 것을 돕는다. 니켈 코팅은 니켈, 니켈 산화물, 이들의 합금들, 또는 이들의 조합들일 수 있거나, 또는 이들을 포함할 수 있다.
[0023] 도 3은 본 개시내용의 일 양상에 따른, 도 1의 챔버에서 활용되는 에지 링(180)의 개략적인 평면도를 예시한다. 일 실시예에서, 에지 링(180)은 마스크(150)에 인접하게 배치된다. 에지 링(180)은 환상 바디(306)를 갖는다. 에지 링(180)은 기판 조립체(104)와 맞물리기 위한 여러 개구들(304)을 포함한다. 에지 링(180)은 기판 조립체(104) 상에 배치된다. 하나 이상의 실시예들에서, 에지 링(180)은 커버 플레이트(152)에 인접하게 배치된다. 에지 링(180)은 세라믹 재료, 이를테면 석영 또는 알루미나를 포함할 수 있다. 에지 링(180)은 복수의 돌출부들(302)을 갖는다. 돌출부들(302)은 원형 범프들, 정사각형, 직사각형, 육각형, 또는 임의의 다른 형상일 수 있다. 돌출부들(302)은 바디(306) 주위에 배열된다. 10개의 돌출부들(302)이 도시되어 있지만, 더 많거나 또는 더 적은 돌출부들(302)이 있을 수 있다. 돌출부들은 에지 링(180)의 바디(306)의 원주 주위에 동일하게 이격될 수 있다. 하나 이상의 실시예들에서, 돌출부들(302)은 기판 조립체(104) 및 기판(154)으로부터의 열 전달을 감소시킨다. 부가적으로, 에지 링(180)은 기판의 최상부와 기판(154)의 최하부 사이에 압력차를 제공한다. 다른 실시예들에서, 에지 링(180)은 기판(154) 위에서의 균일한 레벨링(leveling)을 제공한다.
[0024] 다른 실시예에서, 에지 링(180)은 기판(154) 주위에 그리고 기판(154) 아래에 배치되며, 에칭 프로세스 동안, 에지 링(180)은 프로세싱 챔버(100)에 있으면서 플라즈마 노출에 의해 가열된다. 에지 링(180)이 가열되면, 흡수된 열 에너지는 에지 링(180)으로부터 기판(154)의 에지로 전달된다. 따라서, 기판(154)의 에지는 에지 링(180)으로부터의 국부화된 가열에 의해 가열된다. 에지는, 최소의 접촉으로, 가열된 표면(가열기) 상에 지지되고, 그에 따라, 다른 방식으로 노출되는 경우보다, 더 넓은 표면적이 플라즈마로부터의 라디칼들 및/또는 이온들에 노출된다. 기판(154)의 에지와 에지 링(180) 사이의 갭을 최소화함으로써, 에지 링(180) 및 가열기 표면 상에서 플라즈마 농도가 증가된다. 기판(154)의 에지의 국부화된 가열은 기판 상의 에칭 레이트를 증가시킨다.
[0025] 도 4는 본 개시내용의 일 양상에 따른, 도 1의 챔버에서 활용되는 커버 플레이트(152)의 개략적인 평면도를 예시한다. 커버 플레이트(152)는 중심 애퍼처(402), 복수의 개구들(404), 복수의 파스너(fastener)들(410), 스캘럽형 에지(406), 및 복수의 스포크(spoke)들(408)을 포함한다. 중심 애퍼처(402)는 원형 개구, 육각형 개구, 직사각형 개구, 또는 임의의 다른 형상의 개구일 수 있다. 복수의 개구들(404)은 중심 애퍼처(402) 주위에서 원주 방향으로 변위된 원형 개구들이다. 복수의 개구들(404) 각각은 중심 애퍼처(402)보다 더 작다. 본 개시내용이 8개의 개구들(404)을 도시하지만, 복수의 개구들(404)은 8개보다 더 많거나 또는 더 적은 개구들(404)을 포함할 수 있다. 하나 이상의 실시예들에서, 개구들(404)은 중심 애퍼처(402) 주위에 균등하게 이격된다. 다른 실시예에서, 개구들(404) 사이의 거리들은 변화된다. 복수의 스포크들(408)은 커버 플레이트(152) 내의 그루브(groove)들이다. 복수의 스포크들(408)은 중심 애퍼처(402)를 에워싸는 원형 그루브로부터 방사상으로 퍼져 나온다. 복수의 스포크들(408)은 스캘럽형 에지(406)를 향해 반경방향 외측으로 연장되는 선형 그루브들이다. 스캘럽형 에지(406)는 균일한 만입부들을 갖는 물결-형 패턴을 포함한다. 스캘럽형 에지(406)는 둥근 에지들, 정사각형 에지들, 또는 뾰족한 에지들을 가질 수 있다. 스캘럽형 에지(406)는 기판이 커버 플레이트(152) 및 척 링(180) 상에 배치될 때 슬라이딩되는 것을 방지한다.
[0026] 동작 시에, 기판을 에칭하는 방법은 프로세싱 챔버 내부에서 기판 지지부 상에 기판을 배치하는 단계에 의해 시작된다. 기판은 중심부 및 경사 에지를 갖는다. 동일한 챔버 또는 상이한 챔버에서 증착 프로세스를 거친 후에, 기판은 기판의 상부 표면 상에 증착된 층(예컨대, 유전체 층 또는 임의의 다른 타입의 층)을 갖는다. 증착된 층은 기판의 중심부 및 에지 상에 또는 이들 위에 증착될 수 있거나 또는 다른 방식으로 배치될 수 있다.
[0027] 마스크(150)는, 약 0.003 인치 내지 약 0.100 인치의 범위 내에 마스크(150)와 기판(154) 사이의 작은 갭을 유지하도록, 기판(154) 위로 하강된다. 일부 실시예들에서, 기판(154) 및 에지 링(180)이 마스크(150)와 접촉하도록 상승된다. 하나 이상의 실시예들에서, 기판(154)과 마스크(150) 사이의 거리는 100 mil 미만, 이를테면 약 5 mil 내지 약 20 mil의 범위, 예컨대 약 10 mil이다. 에지 링(180)은 기판(154) 주위에/아래에 배치된다. 다른 실시예들에서, 에지 링(180)은 커버 플레이트(152) 주위에 배치된다. 다른 실시예에서, 에지 링(180)은 기판 조립체(104) 위에 배치된다. 방법은 기판(154)의 최상부 근방에서 그리고 에지 근방에서 플라즈마 및/또는 프로세스 가스를 유동시키는 단계로 계속된다. 플라즈마 및/또는 프로세스 가스는 하나 이상의 에천트 가스들일 수 있거나 또는 하나 이상의 에천트 가스들을 포함할 수 있다. 플라즈마 및/또는 프로세스 가스는 에지에 있는 증착된 층들 또는 재료들을 에칭한다. 플라즈마 및/또는 프로세스 가스는 N2, O2, NF3, Ar, He, 또는 이들의 임의의 조합일 수 있거나, 또는 이들을 포함할 수 있다. 퍼지 가스는 Ar, He, N2, 또는 이들의 임의의 조합일 수 있거나, 또는 이들을 포함할 수 있다. 방법은 기판(154)의 중심부 주위에서 마스크(150)의 개구들(202)을 통해 퍼지 가스를 유동시키는 단계를 더 포함한다.
[0028] 하나 이상의 예들에서, 프로세스 또는 에천트 가스가 RPS 또는 다른 플라즈마 소스에 의해 이온화 또는 다른 방식으로 점화되어, 플라즈마가 생성된다. 플라즈마는 마스크(150)의 최상부 표면을 따라 유동하고, 마스크(150)의 둘레로부터 기판(154)의 에지 쪽으로 유동하여, 에지를 에칭한다. 플라즈마가 유동하고 에칭하는 동안, 퍼지 가스는 마스크(150)의 최하부 표면 상의 개구들(202)로부터 유동하여 기판(154)의 중심부 쪽으로 유동한 후에, 반경방향 외측으로 기판의 표면을 따라 기판의 에지 쪽으로 유동한다. 플라즈마와 퍼지 가스의 인터페이스 또는 프로세싱 가스와 퍼지 가스의 인터페이스는 기판의 에지에서 이루어진다. 플라즈마 및/또는 프로세스 가스 및 퍼지 가스의 유량들은, 기판(154)의 에지 상의 원하는 에칭 프로파일을 달성하기 위해, 튜닝되거나(독립적으로 증가 또는 감소되거나) 또는 다른 방식으로 조정된다.
[0029] 제1 위치에서 플라즈마(예컨대, 에천트 가스 또는 프로세스 가스)를 유동시키고, 제2 위치에서 퍼지 가스를 유동시킴으로써, 더 균일하고 제어되는 에칭이 달성될 수 있다. 부가적으로, 마스크 내의 다양한 개구들은 작은 유동 초크를 생성하고, 모든 방향들로의 퍼지 가스의 균일한 분배를 보장한다. 마지막으로, 스캘럽형 커버 플레이트는 기판 배치 및 픽업 동안 안정성을 제공한다.
[0030] 플라즈마는 증착된 층을 에칭하고, 기판의 에지를 노출시킨다. 하나 이상의 예들에서, 에지(예컨대, 경사 또는 직선 에지)는, 약 0.5 mm 내지 약 5 mm, 이를테면, 약 0.5 mm 내지 4 mm 미만, 약 0.5 mm 내지 3 mm 미만, 약 0.5 mm 내지 2 mm 미만, 약 0.5 mm 내지 1.5 mm 미만, 또는 약 0.5 mm 내지 1 mm 미만의 반경방향 폭을 가질 수 있다.
[0031] 전술한 바가 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가적인 실시예들이 본 발명의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (20)

  1. 프로세싱 챔버 내부에 커버 플레이트 상에 기판을 배치하는 단계 ― 상기 기판은 중심부 및 경사 에지(bevel edge)를 갖고, 상기 중심부 및 상기 경사 에지 상의 유전체 층을 포함하고, 상기 프로세싱 챔버는 상기 기판 위에 배치된 마스크 및 상기 기판 아래에 배치된 에지 링을 포함하고, 상기 에지 링은 환상 바디를 갖고, 상기 커버 플레이트는 상기 프로세싱 챔버 내부에서 지지 조립체 상에 배치됨 ―;
    상기 지지 조립체에 부착된 가열기로 상기 기판을 가열하는 단계;
    상기 에지 링을 상승시키고, 상기 마스크를 상기 에지 링과 접촉시키는 단계;
    상기 마스크의 외측 표면을 따라 그리고 상기 경사 에지로 에칭제를 포함하는 프로세스 가스를 유동시키는 단계 ― 상기 프로세스 가스는 플라즈마를 생성하도록 점화됨 ―; 및
    상기 경사 에지에서 상기 기판의 상부 표면을 상기 프로세스 가스에 노출시키는 단계;를 포함하는,
    기판 처리 방법.
  2. 제1 항에 있어서,
    상기 가열기는 상기 지지 조립체 내에 매립되는,
    기판 처리 방법.
  3. 제1 항에 있어서,
    상기 지지 조립체는 진공 척, 정전 척, 또는 가열식 페데스탈을 포함하는,
    기판 처리 방법.
  4. 제1 항에 있어서,
    상기 마스크의 내측 표면 상에 포지셔닝된 적어도 하나의 홀들로부터 상기 기판의 중심부 그리고 상기 기판의 최상부 근방으로 퍼지 가스를 유동시키는 단계를 더 포함하는,
    기판 처리 방법.
  5. 제4 항에 있어서,
    상기 적어도 하나의 홀들은 상기 마스크의 내측 표면 상에 포지셔닝된 2 또는 그 초과의 홀들인,
    기판 처리 방법.
  6. 제1 항에 있어서,
    상기 커버 플레이트는 스캘럽형(scalloped) 에지들, 중심 애퍼처(aperture), 및 상기 중심 애퍼처를 둘러싸는 복수의 개구들을 포함하는,
    기판 처리 방법.
  7. 제1 항에 있어서,
    상기 기판과 상기 마스크는 100 mil 미만의 거리로 이격되는,
    기판 처리 방법.
  8. 프로세싱 챔버 내부에 커버 플레이트 상에 기판을 배치하는 단계 ― 상기 기판은 중심부 및 경사 에지를 갖고, 상기 중심부 및 상기 경사 에지 상의 유전체 층을 포함하고, 상기 프로세싱 챔버는 상기 기판 위에 배치된 마스크 및 상기 기판 아래에 배치된 에지 링을 포함하고, 상기 커버 플레이트는 상기 프로세싱 챔버 내부에서 지지 조립체 상에 배치됨 ―;
    상기 에지 링을 상승시키고, 상기 마스크를 상기 에지 링과 접촉시키는 단계;
    상기 마스크의 외측 표면을 따라 그리고 상기 경사 에지로 에칭제를 포함하는 프로세스 가스를 유동시키는 단계 ― 상기 프로세스 가스는 플라즈마를 생성하도록 점화됨 ―; 및
    상기 경사 에지에서 상기 기판의 상부 표면을 상기 프로세스 가스에 노출시키는 단계;를 포함하는,
    기판 처리 방법.
  9. 제8 항에 있어서,
    상기 지지 조립체에 부착된 가열기로 상기 기판을 가열하는 단계를 더 포함하는,
    기판 처리 방법.
  10. 제9 항에 있어서,
    상기 가열기는 상기 지지 조립체 내에 매립되는,
    기판 처리 방법.
  11. 제8 항에 있어서,
    상기 지지 조립체는 진공 척, 정전 척, 또는 가열식 페데스탈을 포함하는,
    기판 처리 방법.
  12. 제8 항에 있어서,
    상기 마스크의 내측 표면 상에 포지셔닝된 적어도 하나의 홀들로부터 상기 기판의 중심부 그리고 상기 기판의 최상부 근방으로 퍼지 가스를 유동시키는 단계를 더 포함하는,
    기판 처리 방법.
  13. 제12 항에 있어서,
    상기 적어도 하나의 홀들은 상기 마스크의 내측 표면 상에 포지셔닝된 2 또는 그 초과의 홀들인,
    기판 처리 방법.
  14. 제8 항에 있어서,
    상기 커버 플레이트는 스캘럽형 에지들, 중심 애퍼처, 및 상기 중심 애퍼처를 둘러싸는 복수의 개구들을 포함하는,
    기판 처리 방법.
  15. 제8 항에 있어서,
    상기 기판과 상기 마스크는 100 mil 미만의 거리로 이격되는,
    기판 처리 방법.
  16. 프로세싱 챔버 내부에 커버 플레이트 상에 기판을 배치하는 단계 ― 상기 기판은 중심부 및 경사 에지를 갖고, 상기 중심부 및 상기 경사 에지 상의 유전체 층을 포함하고, 상기 프로세싱 챔버는 상기 기판 위에 배치된 마스크 및 상기 기판 아래에 배치된 에지 링을 포함하고, 상기 커버 플레이트는 상기 프로세싱 챔버 내부에서 지지 조립체 상에 배치됨 ―;
    상기 지지 조립체에 부착된 가열기로 상기 기판을 가열하는 단계;
    상기 에지 링을 상승시키고, 상기 마스크를 상기 에지 링과 접촉시키는 단계;
    상기 마스크의 외측 표면을 따라 그리고 상기 경사 에지로 프로세스 가스를 유동시키는 단계 ― 상기 프로세스 가스는 N2, O2, NF3, Ar, He, 또는 이들의 임의의 조합을 포함하고, 상기 프로세스 가스는 플라즈마를 생성하도록 점화됨 ―; 및
    상기 경사 에지에서 상기 기판의 상부 표면을 상기 프로세스 가스에 노출시키고, 상기 유전체 층을 에칭하는 단계;를 포함하는,
    기판 처리 방법.
  17. 제16 항에 있어서,
    상기 가열기는 상기 지지 조립체 내에 매립되는,
    기판 처리 방법.
  18. 제16 항에 있어서,
    상기 지지 조립체는 진공 척, 정전 척, 또는 가열식 페데스탈을 포함하는,
    기판 처리 방법.
  19. 제16 항에 있어서,
    상기 마스크의 내측 표면 상에 포지셔닝된 적어도 하나의 홀들로부터 상기 기판의 중심부 그리고 상기 기판의 최상부 근방으로 퍼지 가스를 유동시키는 단계를 더 포함하는,
    기판 처리 방법.
  20. 제19 항에 있어서,
    상기 적어도 하나의 홀들은 상기 마스크의 내측 표면 상에 포지셔닝된 2 또는 그 초과의 홀들인,
    기판 처리 방법.

KR1020197036062A 2017-05-08 2018-05-07 경사면 에칭 프로파일 제어 KR102350991B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762503193P 2017-05-08 2017-05-08
US62/503,193 2017-05-08
US15/654,444 2017-07-19
US15/654,444 US10276364B2 (en) 2017-05-08 2017-07-19 Bevel etch profile control
PCT/US2018/031311 WO2018208645A1 (en) 2017-05-08 2018-05-07 Bevel etch profile control

Publications (2)

Publication Number Publication Date
KR20190138319A KR20190138319A (ko) 2019-12-12
KR102350991B1 true KR102350991B1 (ko) 2022-01-12

Family

ID=64015469

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197036062A KR102350991B1 (ko) 2017-05-08 2018-05-07 경사면 에칭 프로파일 제어

Country Status (5)

Country Link
US (2) US10276364B2 (ko)
JP (1) JP7208160B2 (ko)
KR (1) KR102350991B1 (ko)
CN (1) CN110914954B (ko)
WO (1) WO2018208645A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US10276364B2 (en) 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
WO2020081644A1 (en) * 2018-10-18 2020-04-23 Lam Research Corporation Lower plasma exclusion zone ring for bevel etcher
US20230120710A1 (en) * 2021-10-15 2023-04-20 Applied Materials, Inc. Downstream residue management hardware

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090014127A1 (en) 2007-07-12 2009-01-15 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5994678A (en) 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US6034863A (en) * 1997-11-12 2000-03-07 Applied Materials, Inc. Apparatus for retaining a workpiece in a process chamber within a semiconductor wafer processing system
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
EP1154466A4 (en) * 1999-01-29 2003-08-27 Tokyo Electron Ltd PLASMA WORKING METHOD AND APPARATUS
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6591850B2 (en) 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
GB0323001D0 (en) 2003-10-01 2003-11-05 Oxford Instr Plasma Technology Apparatus and method for plasma treating a substrate
JP4502199B2 (ja) 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
KR101218114B1 (ko) 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US8083890B2 (en) 2005-09-27 2011-12-27 Lam Research Corporation Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
US8580078B2 (en) * 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
JP4577328B2 (ja) * 2007-04-16 2010-11-10 株式会社日立製作所 半導体装置の製造方法
CN101687229B (zh) * 2007-07-12 2012-01-18 应用材料股份有限公司 将基板置中设置于处理室内的设备及方法
US7981307B2 (en) 2007-10-02 2011-07-19 Lam Research Corporation Method and apparatus for shaping gas profile near bevel edge
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8562750B2 (en) * 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US10937634B2 (en) * 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
US10266943B2 (en) 2014-06-27 2019-04-23 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10276364B2 (en) 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090014127A1 (en) 2007-07-12 2009-01-15 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching

Also Published As

Publication number Publication date
WO2018208645A1 (en) 2018-11-15
US10629427B2 (en) 2020-04-21
US10276364B2 (en) 2019-04-30
US20190214249A1 (en) 2019-07-11
JP2020520097A (ja) 2020-07-02
CN110914954B (zh) 2023-09-08
JP7208160B2 (ja) 2023-01-18
KR20190138319A (ko) 2019-12-12
US20180323062A1 (en) 2018-11-08
CN110914954A (zh) 2020-03-24

Similar Documents

Publication Publication Date Title
KR102350991B1 (ko) 경사면 에칭 프로파일 제어
KR102422656B1 (ko) 프로세스 균일성을 증대하기 위한 방법 및 시스템
TWI796249B (zh) 可運動的邊緣環設計
KR102232800B1 (ko) 챔버 내 히터 및 웨이퍼 회전 메커니즘을 위한 프로세스 키트 설계
US20100099263A1 (en) Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US20110294303A1 (en) Confined process volume pecvd chamber
US9888528B2 (en) Substrate support with multiple heating zones
TW201608661A (zh) 用於化學蝕刻介電質材料的腔室設備
US20220262657A1 (en) Pedestal with multi-zone heating
JP2022511063A (ja) 温度の影響を受けやすいプロセスのための改善された熱的結合を有する静電チャック
US11600470B2 (en) Targeted heat control systems
US10301718B2 (en) Asymmetric pedestal/carrier ring arrangement for edge impedance modulation
TWI689612B (zh) 用以防止hdp-cvd腔室發弧的先進塗層方法及材料
US20170053781A1 (en) Multi-Station Chamber Having Symmetric Grounding Plate
US20170211185A1 (en) Ceramic showerhead with embedded conductive layers
JP2022533826A (ja) 斜面エッチングチャンバのためのヒータ支持キット
KR102689924B1 (ko) 경사면 에칭 챔버를 위한 가열기 지지 키트
US20220093368A1 (en) Wafer non-uniformity tweaking through localized ion enhanced plasma (iep)
KR20230002944A (ko) 에지 유동 제어를 갖는 면판

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant