TWI393800B - 支持組件 - Google Patents

支持組件 Download PDF

Info

Publication number
TWI393800B
TWI393800B TW097151283A TW97151283A TWI393800B TW I393800 B TWI393800 B TW I393800B TW 097151283 A TW097151283 A TW 097151283A TW 97151283 A TW97151283 A TW 97151283A TW I393800 B TWI393800 B TW I393800B
Authority
TW
Taiwan
Prior art keywords
dish
substrate
top plate
ring
gas
Prior art date
Application number
TW097151283A
Other languages
English (en)
Other versions
TW200930829A (en
Inventor
Chien-The Kao
Jing Pei Connie Chou
Chiukin Steven Lai
Sal Umotoy
Joel M Huston
Son Trinh
Mei Chang
Xiaoxiong John Yuan
Yu Chang
Xinliang Lu
Wie W Wang
See-Eng Phan
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200930829A publication Critical patent/TW200930829A/zh
Application granted granted Critical
Publication of TWI393800B publication Critical patent/TWI393800B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

支持組件
本發明係有關於半導體製程之設備,本發明之實施例尤關於半導體製造之化學氣相沉積(chemical vapor deposition,CVD)系統,及使用該化學氣相沉積系統之原位乾式清洗方法,且包括用於該製程設備中之支持組件。
原生氧化物典型生成在基板表面暴露於氧氣之時。氧氣暴露會發生在基板於大氣壓下在處理室之間移動、或殘留在真空室之少量的氧氣接觸到基板表面。原生氧化物也可能在因基板表面在蝕刻過程中被污染而形成。原生氧化物一般會在基板表面形成不期望存在的膜。原生氧化物膜通常都很薄,厚度在5至20之間,但是此厚度已足以在後續的製程中導致問題發生。
這些問題通常會影響形成在基板上之半導體元件之電學特性。例如,當原生氧化矽形成於暴露的含矽層,尤其是在MOSFET結構之製程時,特別會出現問題。氧化矽膜為電性絕緣的,故一般並不希望其出現在接觸電極或內連線電路之界面處,因為氧化矽膜會引發高電性接觸電阻。在MOSFET之結構中,電極及內連線電路係包含在裸矽上沉積一層耐火金屬,及將該層退火,以製造金屬矽化物層。在基板和金屬間之界面處的原生氧化矽膜,其會藉由避免 形成金屬矽化物之擴散性化學反應,而減低矽化物層結構之不一致性。然此會使基板產出較少,且會因電接點過熱而增加損壞率。原生氧化矽膜也可以避免其他即將沉積到基板之CVD或濺鍍層之附著。
濺鍍蝕刻已用於嘗試減少大型特徵結構(feature)、或具有高寬比(aspect ratio)小於4:1之小型特徵結構的污染。然而,濺鍍蝕刻會因為物理性轟擊而損壞細微之矽層結構。為解決此問題,也嘗試如利用氫氟酸(HF)和去離子水之濕式蝕刻製程。然而,這樣的濕式蝕刻,對於今日高寬比超過4:1之小型元件相當不利,尤其是高寬比超過10:1者。更明確而言,該濕式溶液不能穿過那些在基板表面內形成之介層洞、接觸窗和其他結構。因此,不能完全移除原生氧化物膜。同樣的,濕式蝕刻溶液如果能夠成功穿越如此大小的特徵結構,一旦蝕刻完成時,會更難從特徵結構將此濕式蝕刻溶液移除。
另一減少原生氧化物膜的方法為乾式蝕刻,例如利用含氟氣體之乾式蝕刻。然而,利用含氟氣體的缺點在於氟一般會留滯於基板表面上。留滯在基板表面上之氟原子或氟基係有害的。例如,留滯的氟原子會繼續蝕刻基板,而在基板中形成空隙。
而最新移除原生氧化物膜的方法,是在基板表面形成含氟/矽鹽類後以退火移除之。這種形成鹽類薄膜的方法,是由含氟氣體和氧化矽表面反應得來的。該種鹽類之後會 被加熱到一足以分離該鹽類成為揮發性副產物之溫度,而從處理室移除該副產物。反應性之含氟氣體的形成,經常藉由熱力加成或電漿能量的輔助。該種鹽類通常形成於需冷卻基板表面之經降低溫度。於冷卻後通常藉由將基板由一冷卻室(基板在此冷卻)傳送到一隔離的退火室或爐(基板在此加熱)而接續加熱。
由於各種因素,吾人並不希望使用此一反應性的氟製程。換句話說,晶圓產率會因為花時間傳送晶圓而顯著降低。另外,晶圓很容易被傳送過程中其他的氧化物或是污染源所影響。再者,因為需要兩個分離的處理室以完成氧化物移除的製程,故經營者的成本會變成兩倍。
因此,需要一處理室能夠遠端產生電漿、加熱及冷卻,俾以在單一處理室內完成一單一乾式蝕刻程序(亦即原位乾式蝕刻)。
本發明係提供一種電漿處理室及其部件。在一實施例中,係提供一種用於電漿處理室之支持組件,該支持組件包括耦接至碟狀主體之軸桿。軸桿具有形成於其中之一真空導管、熱傳送流體導管及氣體導管。碟狀主體包括一上表面、一下表面及一圓柱狀外表面。熱偶係嵌設在碟狀主體中。凸緣由圓柱狀外表面徑向往外延伸,其中該碟狀主體之下表面包括凸緣之一側。流體通道係形成在碟狀主體 中而接近凸緣及下表面。流體通道係耦接至軸桿的熱傳送流體導管。複數個溝槽係形成在碟狀主體之上表面中,且透過碟狀主體中的孔洞而耦接至軸桿的真空導管。氣體導管係形成穿過碟狀主體,且將軸桿的氣體導管耦接至碟狀主體之圓柱狀外表面。碟狀主體中的氣體導管之定向係實質垂直於碟狀主體之中線。
在另一實施例中,係提供一種邊環。在一實施例中,邊環係配置以與基板支持構件接合,該基板支持構件具有由碟狀主體之圓柱狀外表面延伸的凸緣。邊環包括一圓柱狀鋁環主體、一頂部突唇及一底部突唇。圓柱狀鋁環主體的內壁係按一定尺寸製作以維持碟狀主體之圓柱狀外表面之間的間隙。頂部突唇由環主體徑向往內延伸而位於碟狀主體之上表面的上方。底部突唇由環主體往下延伸並圍繞凸緣。底部突唇具有一內壁,而該內壁係與環主體為共中心定位。
在另一實施例中,係提供一種用於電漿處理室之電極。在一實施例中,一電極包括有一鍍鎳主體,該主體具有穿設於其間之進氣口。主體包括上區段及擴張區段。上區段具有下方碟狀物表面、上方碟狀物表面及碟狀物外徑。擴張區段由下方碟狀物表面延伸至下方主體表面。擴張區段之主體外徑的直徑係小於碟狀物外徑之直徑。擴張區段之圓錐狀內徑壁由下方碟狀物表面呈錐狀朝向上區段 而延伸進入擴張區段。進氣口係開啟於由內徑壁所界定之空腔。
本發明亦提供一種由基板表面移除原生氧化物之處理室。在一實施態樣中,該處理室包含一處理室主體及支持組件,該支持組件至少部份配置在處理室主體內,用以支持在其上之基板。該支持組件包含一或更多之流體通道,該流體通道至少部份在支持組件中形成,能夠提供流體來冷卻基板。處理室更包含一上蓋組件,其配置在處理室主體之上表面上。該上蓋組件包含一第一電極和一第二電極,用以定義兩電極間的電漿空腔,其中第二電極係被加熱且用來接續地加熱該基板。
本發明亦提供由基板表面蝕刻原生氧化物的方法。在一實施態樣中,本方法包含將一待處理基板載入一處理室內,該處理室包含一處理室主體及支持組件,該支持組件至少部份配置在處理室主體內,用以支持在其上之基板。該支持組件包含一或更多之流體通道,該流體通道至少部份在支持組件中形成,且能夠提供流體來冷卻基板。處理室更包含一上蓋組件,其配置在處理室主體之上表面上。該上蓋組件包含一第一電極和一第二電極,用以定義兩電極間的電漿空腔,其中第二電極係用來接續地加熱該基板。
本方法更包含:在電漿空腔內產生反應性氣體之電漿;藉由將一熱傳送介質流經支持組件裡的一或多個流體通道以冷卻基板;將反應性氣體流經第二電極至基板表 面;利用反應性氣體以蝕刻基板表面;藉由提供與第二電極接觸之加熱元件能量來加熱第二電極;以及將該支持組件設置在加熱過的電極附近,而利用該加熱過的第二電極來加熱基板。
本發明係提供一處理室,其足以處理多種基板製程技術。該處理室對於需要在不破壞真空的情況下就可將基板表面加熱及冷卻而執行電漿輔助之乾式蝕刻製程特別有用。例如,在此描述之處理室係預想最適合作為產線前端(front-end-of line,FEOL)的清潔室,用以移除基板表面之氧化物和其他的污染物。
於此使用之「基板表面」一詞,係指在其上進行處理的任一基板表面。例如,一基板表面依其應用,可能包含矽、氧化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石,及其他任一種材料,例如金屬、金屬氮化物、金屬合金,及其他導電材料。一基板表面也可能包含介電材料,例如二氧化矽、有機矽酸鹽及碳摻雜氧化矽。基板本身並不限於任一尺寸或形狀。在一實施態樣中,基板一詞係指一直徑200 mm或300 mm之圓形晶圓。在另一實施態樣中,基板一詞係指任一多邊形、正方形、長方形、具弧度或其他非圓形的工件(workpiece),例如一用以製造平面顯示器之玻璃基板。
第1A圖為一部份橫截面圖,顯示前述之處理室100。在一實施例中,處理室100包含一處理室主體112、一上蓋組件200,及一支持組件300。上蓋組件200係配置在處理室主體112之上端,支持組件300係至少部份配置在處理室主體112內。處理室100及相關之硬體,係最佳由一或多種製程相容的材料形成,例如鋁、陽極電鍍鋁、鍍鎳鋁、鍍鎳鋁6061-T6、不鏽鋼等,或是上述材料的合金及混合物。
處理室主體112包含狹縫閥開口160,該狹縫閥開口160係形成於處理室主體112之側壁中,以提供通道進入處理室100之內部。狹縫閥開口160係選擇性的開關,以容許藉由晶圓取放機器手臂(未繪示)進入處理室主體112之內部。對於熟悉此技藝之人士來說,晶圓取放機器手臂是已知的,且可以使用任一適合的機器手臂。例如,作為範例用的機器傳送組件,已描述於美國專利第4,951,601號「多重處理室整合處理系統」,於1990年8月28日公告,其完整的揭露係在此合併參照。在一實施例中,晶圓可經過狹縫閥開口160而傳輸進出處理室100,而至鄰近的傳送室和/或負載鎖定室,或另一在群組工具內的處理室。可以連接到處理室100這一類型的群組工具,已被揭露於美國專利第5,186,718號「分段真空晶圓處理系統及方法」,於1993年2月16日公告,其完整的揭露係在此合併參照。
在一或多個實施例中,處理室主體112包含在其內形成的通道113,用以使熱傳送流體流動於其中。熱傳送流體可以是加熱流體、或冷卻劑,用以在製程和基板傳送期間控制處理室主體112之溫度。處理室主體112的溫度,對於避免發生不期望的氣體凝結或副產物附著在處理室壁上,是相當重要的。可為例子的熱傳送流體,包含水、乙二醇、或兩者之混合物,也包含氮氣。
處理室主體112更包含一圍繞支持組件300之襯墊133。襯墊133較佳為可移除的,以進行維修和清潔。襯墊133可以是由金屬(例如鋁)或陶瓷材料製成。然而,襯墊133可為任一與製程相容的材料。襯墊133可為經過珠擊(bead blasted),以增加在其上沉積之任一材料的附著,以避免材料的片狀化剝落而導致處理室100之污染。在一或更多的實施例中,襯墊133包含在其中形成的一或多個孔洞135、及抽氣通道129,而該抽氣通道129係與一真空系統為流體連通。孔洞135提供氣體流至抽氣通道129的路徑,而提供在處理室100中之氣體的出口。
真空系統包含真空幫浦125,及一節流閥127,以調節經過處理室100之氣體。真空幫浦125係連接至一配置在處理室主體112上之真空埠131,因而與在襯墊133內形成的抽氣通道129為流體連通。氣體和多數氣體兩詞可以交替使用,除非特別註明,且係關於一或多個前驅物、反 應物、催化劑、載氣、淨化氣體、清潔氣體、或其混合物,或其他導入處理室主體112之流體。
考量襯墊133更多的細節,第1B圖顯示一襯墊133之一實施例之放大示意圖。在此一實施例中,襯墊133包含上半部133A及下半部133B。孔洞133C係與配置於處理室主體112側壁上之狹縫閥開口160對準,並且形成在襯墊133內,以容許基板進出處理室主體112。典型地,抽氣通道129係在上半部133A中形成。上半部133A也包含一或多個穿設於其中之孔洞135,以提供氣體進入抽氣通道129之通路或流動路徑。
參照第1A圖及第1B圖,孔洞135容許抽氣通道129與處理室主體112中的處理區140為流體連通。處理區140是由上蓋組件200之下表面,與支持組件300之上表面定義出的,且被襯墊133環繞。孔洞135可為一致的大小,且沿著襯墊133而保持等間隔設置。然而,可使用任一種數量、位置、尺寸、或形狀的孔洞,且其設計參數可以依照所需流經基板接受表面之氣體的流動圖樣而改變,將於下討論之。此外,孔洞135之尺寸、數目、和位置係配置以達成離開處理室100之均勻氣流。再者,孔洞尺寸和位置可用以提供快速或高容量之抽氣,以加速氣體由處理室100排出。例如,接近真空埠131之孔洞135的數目和尺寸,會比離真空埠131較遠之孔洞135少/小。
繼續參照第1A圖及第1B圖,襯墊133之下半部133B包含一流動路徑或真空通道129A配置於其內。真空通道129A係與上述之真空系統為流體連通。真空通道129A也經由一形成於襯墊133外徑中之凹部或埠129B而與抽氣通道129為流體連通。通常,兩氣體埠129B(圖上僅顯示一個)係形成在襯墊133外徑之中而位於上半部133A和下半部133B之間。氣體埠129B提供抽氣通道129和真空通道129A間的流動路徑。每一埠129B之尺寸和位置是設計上的項目,且由所需薄膜之化學計量、所形成元件之幾何圖形、處理室100之體積容量,和與其連接之真空系統的性能所決定。典型地,埠129B係沿著襯墊133之外徑而彼此相對配置、或呈180度間隔排列。
在操作上,離開處理室100之一種或多種氣體,係流經形成穿過襯墊133之上半部133A的孔洞135而進入抽氣通道129。該氣體之後在抽氣通道129內流動,且經由埠129B進入真空通道129A。該氣體會經由真空埠131離開真空通道129A而進入真空幫浦125。
更仔細的考量上蓋組件200,第2A圖顯示一前述之上蓋組件200之放大剖面圖,且如第1A圖所示,該上蓋組件200係配置於處理室主體112的上半部。參照第1A圖和第2A圖,上蓋組件200包含互相堆疊之數個部件(如第1圖所示)。在一或多個實施例中,上蓋組件200包含一上蓋框210、氣體輸送組件220,及一頂板250。氣體輸 送組件220係連接到上蓋框210之上表面,且以與之最小熱接觸方式排列。上蓋組件200之部件最好是由具有高熱導係數和低熱阻之材料構成,例如具有高度拋光(highly finished)表面之鋁合金。部件的熱阻最好低於約5x10-4 m2 K/W。該上蓋框210係設計來負載組成上蓋組件200之部件的重量,且該上蓋框210係經由一絞練組件(未繪示)連接到處理室主體112之上表面,而可進入內部腔室部件中(例如支持組件300)。
參照第2B圖和第2C圖,氣體輸送組件220包含一分配板或噴灑頭225。第2B圖顯示前述之一氣體分配板225實施例之放大示意圖,而第2C圖為部份橫剖面圖。在一或多個實施例中,分配板225為實質上碟形,且包含複數個孔洞225A或路徑以分配流經其中的氣體。分配板225之孔洞225A可藉由使流動氣體之流速分佈變慢及重新導向,則可避免流經上蓋組件200的氣體直接衝撞下方之基板表面。分配板225之孔洞225A也可將離開上蓋組件200的氣體均勻分配,以提供跨越基板表面之均勻氣體分佈。
參照第2A、2B和2C圖,分配板225更包含一形成於其周界之環形安裝凸緣222,其係依一定尺寸製作而放置在上蓋框210上。依此,分配板225與上蓋組件200間具有最小之接觸。較佳的,一O型環密封件224,例如彈性體O型環,係至少部份配置在環形安裝凸緣222內,以確保與上蓋框210之液密接觸(fluid-tight contact)。
氣體輸送組件220更包含一阻擋組件230,其配置而鄰近分配板225。阻擋組件230可提供至分配板225背側之均勻氣體分配。阻擋組件230最好的是由鋁合金製成,且可移除的連接至分配板225以確保良好的熱接觸。例如,阻擋組件230可利用螺栓221或類似的固定器而連接到分配板225。如第2A圖所示,阻擋組件230較佳與上蓋框210無任何之熱接觸。
在一或多個實施例中,阻擋組件230包含安裝至第二阻擋板235之一第一阻擋板233。第二阻擋板235包含一形成穿過其內之通道259。通道259係穿過第二阻擋板235而置中設置,因此通道259可與由頂板250之下表面和第二阻擋板235之上表面界定出之第一空腔或體積261為流體連通。通道259也與第二阻擋板235之下表面和第一阻擋板233之上表面所界定出之第二空腔或體積262為流體連通。通道259也與第一阻擋板233之下表面和分配板225之上表面所界定出之第三空腔或體積263為流體連通。通道259係連接到一進氣口223。進氣口223之第一端係連接到頂板250。雖然並未繪示,但進氣口223係在其第二端連接至一或多種上游氣體源和/或其他氣體輸送部件,例如氣體混合器。
第一阻擋板233包含在其內形成之複數通道233A,用以散佈由通道259流至分配板225之氣體。雖然通道233A顯示為圓形,但通道233A可為方形、矩形或其他任意形 狀。通道233A可以按照一定尺寸製作,並且沿著阻擋板233而配置,以提供跨越基板表面之經控制且均勻的流體分配。如上所述,第一阻擋板233可輕易的由第二阻擋板235及分配板225上移除,以利於這些部件的清潔或替換。
在使用上,氣體輸送組件220會經由進氣口223導入一或多種處理氣體。處理氣體會流進第一空腔261,且經由第二阻擋板235之通道259而進入第二空腔262。之後,處理氣體會經由第一阻擋板233上之孔洞233A而分配至第三空腔263內,且進一步經由分配板225之孔洞225A分配,直到氣體接觸到處理室主體112內之基板表面。
一氣體供應板(未繪示)典型係用以提供一或多種氣體到處理室100。所使用之特殊的(多種)氣體係取決於在處理室100中進行的(多個)處理。前述之氣體可包含但不限定於一或多種前驅物、還原劑、催化劑、載氣、淨化氣體、清潔氣體或上述這些任意的組合物或混合物。典型地,導入處理室100的一或多種氣體會流經進氣口223而進入上蓋組件200,之後經由氣體輸送組件220進入處理室主體112。一電動閥和/或流體控制構件(未繪示),可用以控制氣體由氣體供應處至處理室100內之流動。取決於製程,任意數量的氣體可被傳送到處理室100,且可以在處理室100中,或在氣體輸送到處理室100之前(例如在一氣體混合器中,未繪示)混合。
繼續參照第1A和2A圖,上蓋組件200更包含一電極240,以在上蓋組件200中產生反應性物種之電漿。在一實施例中,電極240係由頂板250支持,且與其為電性隔離。例如,一隔離充填環241可被配置在電極240的下半部周圍,如第2A圖所示,而將電極240和頂板250區隔開。一環狀隔離器242也可被配置於隔離充填環241之外表面周圍。一環狀絕緣器243可接著配置於電極240之上半部周圍,因此電極240可與頂板250及上蓋組件200之所有其他部件為電性隔離。這些環狀元件241、242、243可以由氧化鋁或其他絕緣、與製程相容的材料組成。
在一或多個實施例中,電極240係耦接到一電源(未繪示),此時氣體輸送組件220係接地(亦即,氣體輸送組件220可作為電極使用)。依此,一或多種處理氣體之電漿可在電極240(第一電極)和氣體輸送組件220(第二電極)之間的空腔261、262和/或263中產生。例如,電漿可在電極240和阻擋組件230間被擊中且包含在其間。另外,電漿可在電極240和分配板225(若沒有阻擋組件230時)間被擊中且包含在其間。在另一實施例中,電漿係良好地被包含或限制在上蓋組件200中。依此,電漿為一「遠端電漿」,因為沒有活化電漿與在處理室主體112內之基板表面直接接觸。因此,避免掉電漿損壞基板的可能,因為電漿與基板表面係足夠分離。
可使用任一足以活化氣體變成反應性物種,且維持反應性物種之電漿的電源。例如,射頻(RF)、直流電(DC)、或微波(MW),這些放電技術都可以被使用。活化也可經由以熱能為基礎的技術、氣體擊穿技術、高強度光源(例如紫外線)或暴露在X射線源下來進行。另外,遠端活化源(例如一遠端電漿產生器)可用以產生反應性物種的電漿,其之後會被輸送到處理室100。可為範例之遠端電漿產生器可購自例如MKS Instruments,Inc.及Advanced Energy Industries,Inc.之廠商。一RF功率源最好係連接到電極240。
參照第2A圖,氣體輸送組件220可取決於處理室100內之處理氣體和所進行之操作而被加熱。在一實施例中,加熱元件270(例如一電阻加熱器)可耦接至分配板225。在一實施例中,加熱元件270為管狀,且被壓進分配板225之上表面中,如第2B及2C圖所示。
如第2B及2C圖所示,分配板225之上表面包含其寬度略小於加熱元件270外徑之溝槽或凹陷通道,因此加熱元件270使用干涉配接(interference fit)而固定在溝槽內。由於氣體輸送組件220的部件(包含分配板225及阻擋組件230)係彼此傳導地連接,故加熱元件270可調節氣體輸送組件220之溫度。連接到分配板225之熱偶272係有利於溫度的調節。熱偶272可用在回饋迴路中,以控制由電源供應至加熱元件270之電流,因此氣體輸送組件220 之溫度可被維持、或控制在一想要的溫度、或一定的範圍內。氣體輸送組件220之溫度控制可藉由上述方式而促進之,氣體輸送組件220與上蓋組件200之其他部件具有最小的熱接觸,因此限制了熱傳導。
在一或多個實施例中,上蓋組件200包含一或多個形成在其中的流體通道202,以供熱傳送介質流動而提供氣體輸送組件220之溫度控制。在一實施例中,流體通道202可形成於上蓋框210中,如第2A圖所示。另外,流體通道202可形成在上蓋組件200之任何部件內,以提供均勻的熱傳送至氣體輸送組件220。流體通道202可以依照處理室100內製程的要求,而容納加熱或冷卻的介質,以控制氣體輸送組件220之溫度。可使用任一熱傳送介質,例如氮、水、乙二醇,或這些之混合物。
在一或多個實施例中,氣體輸送組件220可利用一或多個加熱燈(未繪示)加熱。典型地,該加熱燈係配置於分配板225之上表面周圍,以藉由輻射而加熱分配板225。
第3A圖為前述支持組件300之部份橫剖面圖。支持組件300至少部份設置在處理室主體112內。支持組件300包含一支持構件310以支持在處理室主體112內進行製程之基板(在此視圖中未繪示)。支持構件310經由軸桿314連接到一升舉機構330,而軸桿314係延伸穿過形成於處理室主體112下表面中的置中開口114。升舉機構330可藉由一風箱333而彈性的密接至處理室主體112,可以避 免真空由軸桿314周圍逸漏。升舉機構330容許支持構件310在處理室主體112內垂直移動於處理位置及較低的傳送位置之間。傳送位置比形成於處理室主體112側壁上之狹縫閥開口160略低。
第3B圖為第3A圖中支持組件300之部份橫剖面圖。在一或多個實施例中,支持構件310具有一平坦、圓形表面,或一實質上平坦、圓形表面,用以支持基板於其上以進行處理。支持構件310最好由鋁構成。支持構件310包含一可移除的頂板311,該頂板311係由其他材料構成,例如矽或陶瓷材料,以減少基板背側之污染。
在一或多個實施例中,支持構件310或頂板311包含設置在其上表面上之複數個延伸部或突起311A。在第3B圖,突起311A係位於頂板311之上表面。可以預想,當不需要頂板311時,突起311A可配置於支持構件310之上表面。突起311A提供基板下表面及支持組件300(亦即,無論是支持構件310或頂板311)之支持表面的最小接觸。
在一或多個實施例中,基板(未繪示)可利用真空吸座而固定在支持組件300上。頂板311包含複數個孔洞312,該些孔洞312與形成於支持構件310內的一或多個溝槽316為流體連通。溝槽316係經由設置在軸桿314與支持構件310內之真空導管313而與真空幫浦(未繪示)為流體連通。在某些情況下,真空導管313可用以供應淨化氣體至支持構件310之表面,以避免基板未設置在支持構件310 上時而發生沉積現象。真空導管313也可在處理過程中通過淨化氣體,以避免反應性氣體或副產物接觸基板之背側。
在一或多個實施例中,基板(未繪示)可利用一靜電吸座固定在支持構件310上。在一或多個實施例中,基板可藉由機械夾鉗(未繪示)而放置在支持構件310上,例如一習知的夾環。
較佳的,基板係由靜電吸座固定。靜電吸座典型包含圍繞在電極(未繪示)周圍之至少一介電質材料,且位於支持構件310之上表面上,或形成為支持構件310之整體部份。靜電吸座的介電質部份係將吸座電極與基板及支持組件300之剩餘部件呈電性絕緣。
在一或多個實施例中,吸座介電質部份的周邊係略小於基板周邊。換言之,基板會略微突出於吸座介電質部份的周邊上方,以致於吸座介電質會完全被基板覆蓋(即使當基板置於吸座上而並未對準中心)。藉由確認基板完全覆蓋吸座介電質,則可確保基板可保護吸座免於暴露在處理室主體112內之腐蝕性或有害物質下。
用於操作靜電吸座的電壓係由一分離的"吸座"電源供應器(未繪示)而提供。吸座電源供應器之輸出端係連接至吸座電極。另一輸出端典型地接地,但也可連接到支持組件300之金屬主體部份。在操作上,基板係與介電質部份 接觸,一直流電壓係分配給電極,以產生靜電吸引力或偏壓,以將基板吸附在支持構件310之上表面上。
繼續參照第3A及3B圖,支持構件310包含穿設其中之一或多個孔323,以容納升舉銷325。每一升舉銷325典型地由陶瓷或包含陶瓷的材料構成,且用基板操作及運送。每一升舉銷325係可滑動地安裝在孔323內。在一實施態樣中,孔323係以陶瓷套筒為其襯墊,以助使升舉銷325自由滑動。升舉銷325可在其個別之孔323內移動,其係藉由與設置在處理室主體112內之環狀升舉環320接合。該升舉環320係可移動,因此當升舉環320係在上方的位置時,升舉銷325之上表面可位於支持構件310之基板支持表面上方。相反的,當升舉環320在下方的位置時,升舉銷325的上表面比支持構件310之基板支持表面低。因此,當升舉環320由較低位置移至較高位置時,每一升舉銷325的部份會通過其在支持構件310個別之孔323。
當啟動的時候,升舉銷325會推抵基板表面,將基板升舉離開支持構件310。相反的,升舉銷325會被解除啟動以使該基板降低,以將基板置於支持構件310上。升舉銷325包含擴大的頂端或錐形頂端,以避免升舉銷325由支持構件310掉出。熟悉此技藝之人士都理解,也可利用其他種升舉銷的設計。
在一實施例中,一或多個升舉銷325包含在其上之塗層、或連接裝置,而這些裝置係防滑或高摩擦係數之材料 構成,以避免支持在其上之基板滑落。較佳的材料為高溫聚合物,其不會在基板背側造成刮痕或損害而在處理室100中製造污染物。較佳的塗層或連接裝置為DuPont提供的KALREZTM
為驅動升舉環320,通常使用例如習知之氣壓缸或步進式馬達(未繪示)之一致動器。步進式馬達或氣壓缸會驅動升舉環320於高或低的位置,且會因此驅動升舉銷325以升高或降低基板。在一特定之實施例中,基板(未繪示)係藉由三個互呈120度分隔設置、且由升舉環320突出之升舉銷325(在圖中未繪示)而支持在支持構件310上。
在一實施例中,支持(底座)組件300可包括一支持構件310,且支持構件310呈實質碟狀主體380之形式。軸桿314係耦接至碟狀主體380。軸桿314具有一真空導管313、一熱傳送流體導管361及一氣體導管335。碟狀主體380包括一上表面382、一下表面384及一圓柱狀外表面388。熱偶(圖中未示)係嵌設在碟狀主體380中。凸緣390由圓柱狀外表面388徑向往外延伸。下表面384包括凸緣390之一側。流體通道360係形成在碟狀主體380中而接近凸緣390及下表面384。流體通道360係耦接至軸桿314的熱傳送流體導管361。複數個溝槽316係形成在碟狀主體380之上表面382中。孔洞(圖中未示)係形成穿過碟狀主體380,以將至少一溝槽316耦接至軸桿314的真空導管313。氣體導管335係形成穿過碟狀主體380, 且其出口在碟狀主體380之圓柱狀外表面388。氣體導管335之定向係實質垂直於碟狀主體380之中線。
繼續參照第3A圖,支持組件300係包含一繞設於支持構件310之邊環305。邊環305可以由多種不同材料製成,例如陶瓷、石英、鋁及鋼,或其他。在一或多個實施例中,邊環305為一環狀構件,用以覆蓋支持構件310之外圍,避免支持構件310受到沉積。邊環305可設置在支持構件310上或鄰近支持構件310,以在支持構件310之外徑及邊環305內徑之間形成環狀淨化氣體通道334。環狀淨化氣體通道334可以與穿設於支持構件310及軸桿314的淨化氣體導管335為流體連通。較佳的,淨化氣體導管335與一淨化氣體供應器(未繪示)為流體連通,以提供淨化氣體至淨化氣體通道334。任何適合的淨化氣體,例如氮氣、氬氣或氦氣,可以單獨或互相組合加以使用。在實際操作上,淨化氣體流經導管335進入淨化氣體通道334,並且圍繞設置在支持構件310上之基板邊緣附近。依此,淨化氣體與邊環305一起防止基板背側和/或邊緣之沉積。
在一實施例中,邊環305為環狀環,其包括一圓柱狀環主體370,環主體370的內壁372係按一定尺寸製作以維持碟狀主體380之圓柱狀外表面388之間的間隙。邊環305亦包括自環主體370延伸之頂部突唇374及底部突唇376。頂部突唇374由環主體370徑向往內延伸而位於碟狀 主體380之上表面382的上方。底部突唇376由環主體370往下延伸並圍繞凸緣390。底部突唇376具有一內壁378,而該內壁378係相對於環主體370而共中心定位。
繼續參照第3A及3B圖,該支持組件300的溫度係由循環在嵌設於支持構件310主體中之流體通道360之流體所控制的。在一或多個實施例中,流體通道360是與穿設於支持組件300之軸桿314的熱傳送導管361為流體連通。較佳的,流體通道360是設置於支持構件310周圍,以提供一均勻之熱傳送至支持構件310之基板承接表面。流體通道360及熱傳送導管361能使熱傳送流體流動,以加熱或冷卻支持構件310。任何適合的熱傳送流體都可以使用,例如水、氮氣、乙二醇,或前述之混合物。支持組件300更包含一嵌設之熱偶(未繪示),用以監控支持構件310之支持表面的溫度。例如,來自熱偶的信號可以用在回饋迴路,以控制在流體通道360循環之流體的溫度或流速。
回頭參照第3A圖,支持構件310可以在處理室主體112內垂直移動,因此可以控制支持構件310和上蓋組件200間之距離。一感測器(未繪示)可提供處理室100內與支持構件310之位置有關的資訊。支持構件310升舉機制之一例子,已詳細於美國專利第5,951,776號,1999年9月14日發給Selyutin等人,專利名稱為”自我對準之升舉機制”,之後將會合併參照此專利。
在操作上,支持構件310可被升舉至接近上蓋組件200,以控制處理中基板之溫度。因此,基板可以經由加熱元件270所控制之分配板225的輻射而加熱。另外,利用由升舉環320驅動之升舉銷325,而可將基板升舉離開支持構件310,以接近被加熱之上蓋組件200。
在延長使用期間之後或預定保養之指定時間之後,處理室100之某些部件(包含上述之部件)可以週期性的檢驗、置換或清潔。這些部件典型地係統稱為“處理套組(process kit)”之部件。上述處理套組之部件包含,但不限於例如噴灑頭225、頂板311、邊環305、襯墊133及升舉銷325。這些部件之任一或多種典型地在規律的間隔或依照需要而由處理室100移除並被清潔或置換。
第4A圖 顯示另一用於說明之上蓋組件400之部份橫剖面示意圖。上蓋組件400包含至少兩堆疊之部件,其用以在其間形成電漿體積/空腔。在一或多個實施例中,上蓋組件400包含第一電極410(上電極),第一電極410係垂直置於第二電極450(下電極)之上,且在其間圍成一電漿體積/空腔425。第一電極410係連接到電源415(例如RF電源供應器),而第二電極450係接地,以在兩電極410、450之間形成電容。
在一或多個實施例中,上蓋組件400包含一或多個進氣口412(僅顯示一個),該進氣口412至少部份形成在第一電極410之上區段413。一種或多種處理氣體經由一或 多個進氣口412進入上蓋組件400。一或多個進氣口412在其第一端與電漿空腔425為流體連通,且在其第二端連接到一或多種上游氣體源和/或其他氣體傳送部件,例如氣體混合器。一或多個進氣口412之第一端可以開啟而在擴張區段420之內徑430的較高點進入電漿空腔425,如第4A圖所示。類似地,一或多個進氣口412之第一端可以開啟而在沿著擴張區段420之內徑430的任何高度區段進入電漿空腔425。雖然未繪示,但兩進氣口412可以配置在擴張區段420之對邊,以創造一漩渦流之圖樣或渦流(vortex)而流進擴張區段420,此可以幫助電漿空腔425中之氣體混合。更多關於這種流動圖樣和進氣口的排列,係在美國專利序號第10/032,284號,於2001年12月21日申請,在此合併參照。
在一或多個實施例中,第一電極410有一擴張區段420,擴張區段420圍繞出電漿空腔425。如第4A圖所示,該擴張區段420是與上述進氣口412為流體連通。在一或多個實施例中,該擴張區段420為一環狀構件,其具有一內部表面或內徑430,且內徑430係由上半部420A往下半部420B逐漸增加。如此一來,第一電極410和第二電極450間的距離是可變的。可變的距離有助於控制電漿空腔425內所產生電漿的形成與穩定度。
在一或多個實施例中,擴張區段420是類似錐形或漏斗狀,如第4A及4B圖所示。第4B圖顯示第4A圖的上 電極之放大示意及部份剖面圖。在一或多個實施例中,擴張區段420之內部表面430由上半部420A逐漸往下半部420B傾斜。內徑430之斜率或角度可依照製程需求和/或製程限制條件而改變。擴張區段420之長度或高度也可依照特定之製程需求和/或限制條件而改變。在一或多個實施例中,內徑430之斜率,或擴張區段420之高度,或兩者,都可依照製程所需之電漿體積而改變。例如,內徑430之斜率至少可為1:1,或至少1.5:1、2:1、3:1、4:1、5:1或10:1。在一或多個實施例中,內徑430之斜率範圍可介於低值2:1到高值20:1。
在一或多個實施例中,擴張區段420可為彎曲或弧形,雖然在圖中未繪示。例如擴張區段420之內部表面430可為彎曲或弧形而呈凹狀或凸狀。在一或多個實施例中,擴張區段420之內部表面430具有複數個之區段,其可為傾斜、一端逐漸變細、內凹或外凸。
如上所述,第一電極410之擴張區段420會改變第一電極410和第二電極450間的垂直距離,此乃因為第一電極410之內部表面430會逐漸增加。此可改變的距離是直接相關於電漿空腔425內之電源層級。不希望被理論所限制,兩電極410、450間距離之變化,會使電漿找到其所需之電源層級,而將其自身維持在電漿空腔425之某些部分(若非遍及整個電漿空腔425)。因此電漿空腔425內之電漿與壓力之相依關係變小,會使電漿產生及維持在一較 寬之操作視窗。如此一來,更多可重複及可靠之電漿可在上蓋組件400內形成。
第一電極410可由任一製程相容材料組成,例如鋁、陽極電鍍鋁、鍍鎳鋁、鍍鎳鋁6061-T6、不鏽鋼,或這些的混合物及合金。在一或多個實施例中,第一電極410之全部或部份為塗覆有鎳,以減少不必要的粒子形成。較佳的,至少擴張區段420之內部表面430為鍍鎳。
在一實施例中,第一電極410包括有一鍍鎳主體,其具有穿設於其間之進氣口412。第一電極410之主體包括上區段413及擴張區段420。上區段413具有下方碟狀物表面350、上方碟狀物表面352及碟狀物外徑356。擴張區段420具有一圓柱狀主體,其由下方碟狀物表面350延伸至下方主體表面356。擴張區段420之圓柱狀主體具有一主體外徑壁358,而主體外徑壁358之直徑小於碟狀物外徑壁354之直徑。擴張區段420之圓柱狀主體具有一圓錐狀內徑壁430,該圓錐狀內徑壁430由下方主體表面356呈錐狀朝向上區段413延伸進入圓柱狀主體。進氣口412具有連接進氣口412至空腔425的第一開口362,以及形成在碟狀物外徑壁354上的第二開口364。
第二電極450包含一或多個堆疊的板子。當需要兩個或更多個板子時,板子間需要彼此為電性連通。每一板子應包含複數個之孔洞或氣體通道,以容許一或多種氣體由電漿空腔425流穿出去。
參照第4B圖,上蓋組件400更包含一隔離器環440,以使第一電極410與第二電極450電性隔離。隔離器環440可由氧化鋁或任一其他絕緣、與製程相容之材料構成。較佳的,隔離器環440至少圍繞、或實質上圍繞擴張區段420,如第4B圖所示。
再度參照第4A圖顯示之特定實施例。第二電極450包含一頂板460、分配板470,及一阻擋板480。頂板460、分配板470,及一阻擋板480為堆疊並設置在連接到處理室主體112之上蓋框490上,如第4B圖所示。如此技術領域中所知,一絞練組件(未繪示)可用以連接上蓋框490至處理室主體112。上蓋框490包含一嵌設通道或路徑492而用以容納熱傳送介質。熱傳送介質可用以加熱、冷卻或兩者都可,視製程之需要而定。所描述之熱傳送介質係列於上方。
在一或多個實施例中,頂板460包含複數個形成於電漿空腔425下方之氣體通道或孔洞465,以容許氣體由電漿空腔425流穿出去。在一或多個實施例中,頂板460包含一凹陷部分462,該凹陷部分462係用以容納至少第一電極410的一部份。在一或多個實施例中,孔洞465是穿越凹陷部分462下方的頂板460之橫截面。頂板460之凹陷部分462可為步階形式(如第4A圖),以提供兩者間較佳的密接狀態。再者,頂板460的外徑可經設計而位於分配板470之外徑上,如第4A圖所示。一O型環狀之密封 件,例如彈性體O型環463,可至少部份配置在頂板460之凹陷部分462中,以確保與第一電極410為液密接觸。同樣地,O型環密封件466可用以提供頂板460及分配板470外圍間之液密接觸。
在一或多個實施例中,分配板470是和第2A-2C圖中之分配板225相同。特別的是,分配板470是實質上碟形,且包含複數個孔洞475或通道,以使氣體從中流過。孔洞475可按一定尺寸製作,並沿著分配板470而定位設置,以提供一經控制且均勻之流體分配至待處理之基板所放置的處理室主體112。再者,孔洞475可藉由使流動氣體之速度分佈變慢及重新導向,則可避免氣體直接衝撞到基板表面,也可以均勻的分配氣體流,以提供跨越基板表面之均勻的氣體分配。
分配板470亦可包含形成於其外圍之一環狀安裝凸緣472。安裝凸緣472可按照一定尺寸製作以放置於上蓋框490的上表面上。另外,亦可用一O型環密封件(例如一彈性體O型環)而至少部分配置於環狀安裝凸緣472內,以確保其與上蓋框490之液密接觸。
在一或多個實施例中,分配板470包含一個或多個嵌設通道或通路474,用以容納一加熱器或加熱液體,以提供對上蓋組件400的溫度控制。如同前述之上蓋組件200,一電阻加熱元件可置於通路474內,以加熱分配板470。此外,亦可以一熱偶連接到分配板470而用以調節溫度。 如上所述,熱偶可用於一回饋迴路中,以控制施加至加熱元件的電流。
另一方面,可將一熱傳送介質通過通路474。如有需要,可使一個或多個通路474包含一冷卻介質,以視處理室主體112內的處理需求而較佳地控制分配板470的溫度。如上所述,在此可使用任何熱傳送介質,例如氮、水、乙二醇、或其混合物。
在一實施例中,分配板(例如噴灑頭)470包括一中空圓柱體920、一碟狀物902,以及一環形安裝凸緣472。中空圓柱體920包括一頂壁926、一底壁928、一內徑壁922及一外徑壁924。碟狀物902具有一頂部表面904及一下方表面906,頂部表面904係耦接至內徑壁922,下方表面906則耦接至底壁928。碟狀物902具有連接下方表面906及頂部表面904之複數個孔洞475。環形安裝凸緣472由中空圓柱體920之外徑壁924延伸。環形安裝凸緣472具有上表面912及下表面914。環形安裝凸緣472之上表面912係與中空圓柱體920之頂壁926為共平面,下表面914具有高於碟狀物902之頂部表面904的一高度(elevation)。在另一實施例中,電阻加熱器(參照第2A圖而討論如上)係嵌設在形成於中空圓柱體920中之通路474內,且鄰近並圍繞碟狀物902。如上參照第2A圖所描述者,熱偶272可以設置在噴灑頭470中而鄰近碟狀物902之下方表面906。
在一或多個實施例中,可使用一或多個加熱燈(未繪示)對上蓋組件400加熱。通常,加熱燈係設置於分配板470的上表面周圍,並藉由輻射的方式而加熱上蓋組件400之部件(包含分配板470)。
阻擋板480係選用構件,且安裝於頂板460與分配板470之間。較佳的情況是,阻擋板480以可移除的方式安裝於頂板460的下表面,且阻擋板480與頂板460之間應具有良好的熱接觸及電性接觸。在一或多個實施例中,可使用螺栓或類似的固定器來耦合阻擋板480與頂板460。此外,亦可使阻擋板480螺鎖至頂板460的外直徑上。
阻擋板480包含複數個孔洞485,用以提供複數個從頂板460到分配板470的氣體通道。孔洞485可按照一定尺寸製作,並沿著阻擋板480而設置,以提供到分配板470的受到控制與均勻的流量分布。
第4C圖係顯示具有一上蓋組件400安裝於其上的處理室主體112之部分橫剖面圖。較佳的情況是,擴張區段420如第4C圖所示而置中設置在支持組件300的上方。電漿被侷限在電漿空腔425內,且被侷限的電漿之置中位置係允許分離的氣體之均勻且可重複的分布至處理室主體112內。特別的是,離開電漿體積425的氣體係經過頂板460的孔洞465而抵達阻擋板480的上表面。阻擋板480的孔洞485將氣體分配到分配板470的背側,並且在氣體 接觸到處理室主體112內的基板(未繪示)前,該些氣體會被進一步分配通過分配板470的孔洞475。
一般相信,將電漿限制在置中設置的電漿空腔425中,以及第一電極410和第二電極450間可變之距離,則可在上蓋組件400內產生穩定及可靠之電漿。
為簡化描述,以下描述一利用NH3 及NF3 氣體混合物而在處理室100內進行乾式蝕刻以移除氧化矽之例子。一般相信,處理室100對於任何乾式蝕刻處理是有益的,而該些乾式蝕刻處理係受益於除基板加熱和冷卻都在單一處理環境之外還包括退火處理的電漿處理。
參照第1圖,乾式蝕刻處理開始於將一基板(未繪示,例如一半導體基板)置入處理室100中。基板典型地經由狹縫閥開口160而置入處理室主體112中,且配置在支持構件310之上表面上。基板係被吸附在支持構件310之上表面上,且通過通道334而進行邊緣淨化。最佳狀況為,基板係藉由透過導管313而與真空幫浦為流體連通之孔洞312及溝槽316所吸引出的一真空以吸附在支持構件310之上表面上。接著,支持構件310若尚未在處理位置,則會被升舉至處理室主體112之一處理位置。處理室主體112較佳係維持在50℃和80℃間,更佳為約65℃。處理室主體112的溫度是藉由將熱傳送介質通過流體通道113來維持。
藉由在形成於支持組件300內之流體通道360流動一熱傳送介質或冷卻劑,而將基板冷卻到65℃以下,例如15℃ C到50℃間。在一實施例中,基板會維持在室溫以下。在另一實施例中,基板是維持在22℃和40℃間。典型地,支持構件310的溫度是維持在22℃以下,以達到上述特定之基板溫度。為了冷卻支持構件310,冷卻劑係通過流體通道360。冷卻劑的連續流動係較期望的,藉以較佳地控制支持構件310之溫度。冷卻劑最好是由百分之五十體積之乙二醇及百分之五十體積之水混合而得。當然,可以使用任一混合比例的乙二醇及水,只要能夠維持所需之基板溫度。
氨與三氟化氮氣體係接著被導入處理室100中,以形成一清潔氣體混合物。被導入處理室之各氣體的量為可變動的,且可經調整以符合例如:待移除之氧化層的厚度、待清潔之基板的幾何構造、電漿體積容量、處理室主體112的體積容量,以及真空系統連接至處理室主體112的性能。在一實施態樣中,所加入之氣體係提供氨與三氟化氮之莫耳比至少為1:1之氣體混合物。在另一實施態樣中,氣體混合物之莫耳比至少為約3:1(氨比三氟化氮)。較佳的是,氣體在導入處理室100時,氨與三氟化氮之莫耳比為5:1至30:1。更佳的是,氣體混合物之莫耳比為約5:1至10:1(氨比三氟化氮)。氣體混合物之莫耳比也可能降到氨比三氟化氮為約10:1至20:1。
淨化氣體或是載氣也可加入氣體混合物中。可使用任何合適的淨化氣體/載氣,例如氬、氦、氫、氮或前述氣體之混合物。一般來說,氣體混合物包括體積比例為約0.05%至約20%的氨與三氟化氮,而其餘比例為載氣。在一實施例中,淨化氣體或載氣在反應性氣體之前而先導入處理室主體112內,以將處理室主體112內的氣壓穩定。
處理室主體112內的操作壓力是可變的。一般來說,氣壓係維持在大約500毫托耳(Torr)至約30托耳。較佳的是,壓力係維持在大約1托耳至約10托耳。更佳的是,處理室主體112內的操作壓力係維持在大約3托耳至約6托耳。
電極240上會被施加大約5至600瓦的RF功率,以點燃包含在氣體輸送組件220中之體積261、262與263裡的氣體混合物之電漿。較佳的是,RF功率係少於100瓦。更佳的是,施加功率的頻率非常低,例如低於100kHz。頻率範圍較佳介於約50 kHz至90kHz。
電漿的能量將氨與三氟化氮氣體分離成反應性物種,其係結合以形成一高度反應性的氟化氨(NH4 F)化合物,及/或是氣相的氟化氫銨(NH4 F.HF)。這些分子接著經由分配板225上的孔洞225A流過氣體輸送組件220,以與待清潔的基板表面產生反應。在一實施例中,載氣最先被導入處理室100,再產生載氣電漿,並接著將反應性氣體、氨與三氟化氮加入電漿中。
不期望受限於任何特定理論,一般相信蝕刻劑氣體NH4 F及/或NH4 F.HF與氧化矽表面反應後會形成六氟矽酸銨(NH4 )2 SiF6 、NH3 與H2 O等產物。其中NH3 與H2 O在處理環境下為蒸氣,並藉由真空幫浦125而將其自處理室100移除。特別的是,揮發性氣體在氣體通過真空埠131離開處理室100而進入真空幫浦125之前,係流過在襯墊133中形成的孔洞135而進入抽氣通道129。一層(NH4 )2 SiF6 薄膜則留在基板表面上。反應機制可以被摘要如下:NF3 +NH3 → NH4 F+NH4 F.HF+N2 6NH4 F+SiO2 → (NH4 )2 SiF6 +H2 O (NH4 )2 SiF6 +熱 → NH3 +HF+SiF4
在基板表面上形成薄膜之後,具有基板支持於其上方的支持構件310係升高至靠近加熱分配板225的一退火位置。分配板225發出的熱輻射應足以使(NH4 )2 SiF6 薄膜分離或昇華成為揮發性的SiF4 、NH3 和HF產物。如上所述,這些揮發性產物接著利用真空幫浦125而將其自處理室100中移除。一般來說,會採用75℃或更高的溫度以有效地自基板上昇華及移除薄膜。較佳的是,採用100℃或更高的溫度,例如介於約115℃至約200℃之間。
用以分離(NH4 )2 SiF6 薄膜成為揮發性成分的熱能係由分配板225所對流或輻射出來。如上所述,加熱元件270 係直接連接至分配板225,且被啟動以加熱分配板225及與其熱接觸的部件至約75℃至約250℃之間。在一實施態樣中,分配板225會被加熱至約100℃至約150℃之間,例如約120℃。
這種高度的改變可由不同的方法實現。例如,升舉機構330可以將支持構件310升高而朝向分配板225之下方表面。在這升舉過程中,基板係固定至支持構件310(如上述的真空吸座或靜電吸座)。另外,藉由利用升舉環320而升高升舉銷325,可以將基板升舉離開支持構件310,且被定位在靠近加熱分配板225的位置。
覆有薄膜在其上的基板之上表面,與分配板225之間的距離並非關鍵性的,其可透過通常之實驗而得。熟習此技藝之人,可輕易的決定其間距,而在不傷害下層基板的前提下有效地將薄膜蒸發。不過一般認為大約介於0.254 mm(10 mils【密爾】)與5.08 mm(200 mils)之間的間距是最有效益的。
一旦將薄膜自基板移除後,腔室則經淨化及排空。接著,藉由降低基板至傳輸位置、將基板去吸附(de-chucking),並傳送基板經過狹縫閥開口160,則可將經過清潔後的基板從處理室主體112移除。
系統控制器(未繪示)可用以調控處理室100的操作。系統控制器可在儲存於電腦硬碟的電腦程式下進行操作。 舉一典型例子,電腦程式係包含有如下指令:處理順序與時間、氣體混合物、處理室壓力、RF功率層級、基板支座之定位、狹縫閥的開啟與關閉、晶圓冷卻與其他特殊處理的參數。而使用者與系統控制器之間的介面可透過CRT監視器與光筆(圖中未示)完成。在較佳的實施例中,係採用兩組監視器,其一安裝在無塵室牆上而供作業員使用,另一組則在牆後,以供技術服務員作業。而兩組監視器最好能夠同步顯示相同資訊,但只有一光筆是可使用的。光筆藉由筆頂部的光感測器而偵測CRT顯示器所發出的光。為了選擇特殊的畫面或是功能,操作員可以觸碰顯示器螢幕中指定的區域,並按下光筆上的按鈕。一般來說,顯示器螢幕透過它的顯示變化來確認光筆和觸控區之間的通訊,例如以強光突現、不同色彩、或顯示出新的選單或螢幕。
要實施不同的製程可透過使用例如在系統控制器上執行的電腦程式化產品。而電腦程式碼可使用傳統的電腦可讀程式語言撰寫,例如68000組合語言(assembly language)、C語言、C++語言或Pascal語言。適當的程式碼可封包成單一檔案或多重檔案,而只要使用傳統的文字編輯器,即可儲存或嵌入在電腦可用媒介內,例如電腦的記憶體系統。若輸入的程式碼屬於高階語言,則程式碼被編譯,且所得之編譯碼係接著與預先編譯函式庫常式的目的碼連結。為了要執行連結之編譯目的碼,系統使用者讀取目的碼,因而導致電腦系統將該碼載入記憶體中,則CPU 自記憶體讀取並執行該碼,以進行由該程式中所辨識出的任務。
第5A至5H圖為製成示範性主動電子元件(例如MOSFET結構500)的示範性製程順序的剖面視圖,其使用在此描述之處理室100及乾式蝕刻處理。依據第5A至5H圖,示範性的MOSFET結構可以形成在半導體材料上,例如矽或砷化鎵基板525。較佳的是,基板525為一含有晶向<100>、且直徑為150 mm(6英吋)、200 mm(8英吋)或300 mm(12英吋)之矽晶圓。典型來說,MOSFET結構包含有以下項目的組合:(i)介電層,例如二氧化矽、有機矽酸鹽、碳摻雜氧化矽、磷矽玻璃(PSG)、硼磷矽玻璃(BPSG)、氮化矽或上述物質的組合;(ii)半導體層,例如摻雜多晶矽,以及n型(n-type)或p型(p-type)摻雜單晶矽;(iii)由金屬或金屬矽化物層所形成的電子接點與內連線,該金屬或金屬矽化物例如鎢、矽化鎢、鈦、矽化鈦、矽化鈷、矽化鎳或上述物質的組合。
參照第5A圖,主動電子元件的製造係藉由形成電子絕緣結構開始,而電子絕緣結構是將主動電子元件與其他元件絕緣。有幾種電子絕緣結構被廣泛描述在VLSI Technology(第二版,第11章,S.M.Sze及McGraw-Hill Publishing Company(1988))的一書中,在此將其併入以做為參考。在一態樣中,一厚度為2,000之場氧化層(未繪示)會先生長在整個基板525上方,並且移除部分的氧化 層以形成環繞著元件之電子主動元件形成的暴露區之場氧化阻隔物545A、B。暴露區被熱氧化,以形成一厚度約50至300的薄閘極氧化層550。接著,多晶矽層沉積、圖樣化與蝕刻以產生一閘極電極555。多晶矽閘極電極555的表面可被再氧化以形成一絕緣介電層560,以提供如第5A圖所示之結構。
參照第5B圖,藉由將適合的摻質原子摻雜至適當的區域,則可接著形成源極與汲極570A、B。例如在p型基板525上,可使用一n型摻質物種(包括砷與磷)。一般來說,執行摻雜要透過一離子植入器,且可能包含例如濃度約1013 atoms/cm2 的磷(31 P)(能階在約30至80 Kev),或劑量在約1015 至1017 atoms/cm2 的砷(75 As)(能階在10至100 Kev)。在植入程序之後,藉由加熱基板而驅動摻質進入基板525,例如使用快速熱處理(RTP)設備。此後,在一習知剝除處理中將覆蓋在源極與汲極區域570A、B的氧化層550剝除,藉以移除由植入處理所造成且捕捉於氧化層中的任何雜質,以提供如第5B圖所示之結構。
參照第5C與5D圖,氮化矽層575藉由低壓化學氣相沉積法(LPCVD)並使用SiH2 、Cl2 與NH3 之氣體混合物,而沉積在閘極電極555以及基板525表面上。氮化矽層575接著以反應性離子蝕刻法(RIE)蝕刻,而在閘極電極555的側壁上形成氮化物間隔物580,如第5D圖所示。間隔物580係將閘極555頂部表面形成的矽化物層與沉積在源極 570A與汲極區域570B上之其他矽化物層電性隔離。值得注意的是,電性絕緣側壁間隔物580與覆蓋層(overlayer)可由其他材料(如氧化矽)製成。用於形成側壁間隔物580的氧化矽層,典型地在溫度範圍約600℃至1,000℃左右而由四乙氧基矽烷(TEOS)之供給氣體並使用CVD或PECVD而沉積。
參照第5E圖,原生氣化矽層585係在處理前後將暴露的矽表面暴露在空氣中而形成在暴露之矽表面上。原生氧化矽層585必須在於閘極555、源極570A和汲極570B上形成導電金屬矽化物接點之前移除,以增進形成合金之反應以及所形成之金屬矽化物的電導。原生氧化矽層585可以增加半導體材料之電阻,且不利地影響矽之矽化反應及接續沉積之金屬層。因此,必須利用前述之乾式蝕刻處理,而在形成用以內部連接主動電子元件之金屬矽化物接點或導體之前,將原生氧化矽層585移除。乾式蝕刻處理移除原生氧化矽層585,以暴露出源極570A、汲極570B及閘極電極555之上表面,如第5F圖所示。
之後,如第5G圖所示,使用一PVD濺鍍處理以沉積金屬層590。接下來利用習知之爐退火,將金屬及矽層退火,以在金屬層590和矽接觸之區域中形成金屬矽化物。退火典型地在分開的處理系統中執行。依此,一保護性的罩蓋層(未繪示)可沉積在金屬層590上。該罩蓋層係典型地為氮化物材料,且包含選自由氮化鈦、氮化鎢、氮化鉭、 氮化鉿及氮化矽所組成之群組的一或多種材料。該罩蓋層可藉由任何沉積製程沉積,較佳的是PVD。
退火典型地包含在氮氣下,將基板500加熱至600℃到800℃達約30分。另外,金屬矽化物595可利用一快速熱退火形成,此時基板500是快速的加熱至大約1000℃達30分。適合的導體金屬包含鈷、鈦、鎳、鎢、鉑及其他具有低接觸阻抗之金屬,及可在多晶矽及及單晶矽上形成可靠金屬矽化物接點之任何其他金屬。
金屬層590未反應的部份可藉由溼式蝕刻移除之,該溼式蝕刻是藉由王水(auqa regia)(HCl及HNO3 )而進行,其可在不攻擊金屬矽化物595、間隔物580或場氧化物545A、B的情形下移除金屬;,因此留下一在源極570A、汲極570B及閘極555上之自我對準金屬矽化物接點595,如第5H圖所示。因此,一絕緣罩蓋層(包含例如氧化矽、BPSG、或PSG)可被沉積在電極結構上。絕緣罩蓋層係藉由在CVD室內進行化學氣相沉積而得,其中材料在低壓或大氣壓下而由供給氣體凝結,例如描述於美國專利第5,500,249號,於1996年3月19日公告,於此合併參照。因此,結構500在玻璃轉換溫度下退火,以形成平滑之平坦表面。
在一或多個實施例中,處理室100可被整合至一多重處理平台中,例如購自加州聖塔克拉拉應用材料公司之EnduraTM 平台。這樣的處理平台能夠執行數種處理步驟而 不需破壞真空。關於EnduraTM 平台的細節,係在美國專利申請號第09/451,628號,專利名稱為“整合式模組化處理平台”,於1999年11月30日申請,於此合併參照。
第6圖為前述多重處理室系統600之上視示意圖。該系統600包含一或多個負載鎖定室602、604,用以傳送基板進出系統600。典型地,由於該系統600在真空下,負載鎖定室602、604可將導入系統600中的基板「抽真空」。第一機器手臂610可將基板傳送於負載鎖定室602、604、及第一組之一或多個基板處理室612、614、616、618(圖上顯示出4個)之間。每一處理室612、614、616、618可具有特定配備而執行基板之多個處理操作,包含在此描述之乾式蝕刻、及額外之循環層沉積(cyclical layer deposition,CLD)、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(CVD)、物理氣相沉積(physical vapor deposition,PVD)、蝕刻、預先清潔、去除氣體、導向(orientation)及其他基板處理。
第一機器手臂610也可以將基板傳送進出一或多個傳送室622、624。傳送室622、624可用以維持超高真空狀態,以允許基板傳送於系統600中。第二機器手臂630可將基板傳送於傳送室622、624,及第二組之一或多個處理室632、634、636、638之間。類似於處理室612、614、616、618,處理室632、634、636、638可具有特定配備而進行多種不同之基板處理操作,例如在此描述之乾式蝕 刻、及額外之循環層沉積、原子層沉積、化學氣相沉積、物理氣相沉積、蝕刻、預先清潔、去除氣體及導向等。若不需在系統600中執行特定處理,可將基板處理室612、614、616 618、632、634、636、638之任一者自系統600中移除。
前述之用以形成第5A至5H圖之MOSFET結構的多重處理室系統600可包含上述之二個處理室100、二個用以沉積金屬500之物理氣相沉積室及二個用以沉積選擇性之罩蓋層(未繪示)的物理氣相沉積室。第6圖中之處理室612、614、616、618、632、634、636、638係代表PVD處理室和/或處理室100。
雖然上述之處理序列係關於MOSFET元件形成過程而敘述,但在此所述之乾式蝕刻處理也可用於形成其他半導體結構和元件,這些元件具有其他金屬矽化物層,例如鎢、鉭、鉬之矽化物。在不同金屬層的沉積之前,例如鋁、銅、鈷、鎳、矽、鈦、鈀、鉿、硼、鎢、鉭或這幾種之混合物,也可進行清潔處理。
為提供前述討論較佳的了解,以下提供非限制性的例子。雖然例子可能針對特定之實施例,但這些例子並不據以限制本發明於任一特定之實施態樣。
範例:
在蝕刻過程中,2 sccm的NF3 、10 sccm的NH3 和2,500 sccm的氬之氣體混合物係導入處理室中。氣體混合物之電漿會以100瓦的功率點燃。底部淨化為1,500 sccm的氬,邊緣淨化為50 sccm的氬。處理室壓力大約維持在約6 Torr,且基板溫度大約為22℃。基板大約蝕刻120秒。
在之後的退火過程中,間隔為750 mil,上蓋溫度為約120℃。基板退火約60秒。大約有50的材料會由基板表面移除。未觀察到有退火造成之影響。蝕刻速度約為每秒0.46(28/min)。而觀察到的蝕刻一致性為針對50之蝕刻而約為5%。
除非有其他的說明,所有成份、性質、處理條件及其他使用於說明書及申請專利範圍之數字,都應理解為近似值。該近似值是基於本發明企圖獲得之期望性質及量測的誤差,且應該至少被解釋為依照報告之數據,並藉由使用原始概括之技術。再者,其他於此發表之數字,包含溫度、壓力、間隔、莫耳比、流速及其他,可再被最佳化以達成想要的蝕刻選擇性及粒子表現。
雖然本發明已就一些較佳實施例來說明,但熟悉此技藝者藉著前述的說明與附圖,當可對其進行修改、增加、及等效的變更。因此任何未脫離本發明之精神與範圍,而對其進行修改、增加、及等效的變更,均應包含於本發明之中。
100‧‧‧處理室
112‧‧‧處理室主體
113‧‧‧通道
114‧‧‧開口
125‧‧‧真空幫浦
127‧‧‧節流閥
129‧‧‧抽氣通道
129A‧‧‧真空通道
129B‧‧‧埠
131‧‧‧真空埠
133‧‧‧襯墊
133A‧‧‧上半部
133B‧‧‧下半部
133C‧‧‧孔洞
135‧‧‧孔洞
140‧‧‧處理區
160‧‧‧狹縫閥開口
200‧‧‧上蓋組件
202‧‧‧通道
210‧‧‧框
220‧‧‧氣體輸送組件
221‧‧‧螺栓
222‧‧‧安裝凸緣
223‧‧‧進氣口
224‧‧‧O型環密封件
225‧‧‧分配板/噴灑頭
225A‧‧‧孔洞
230‧‧‧阻擋組件
233‧‧‧第一阻擋板
233A‧‧‧通道/孔洞
235‧‧‧第二阻擋板
240‧‧‧電極
241‧‧‧環
242‧‧‧環狀隔離器
243‧‧‧絕緣器
250‧‧‧頂板
259‧‧‧通道
261‧‧‧(第一)空腔/體積
262‧‧‧(第二)空腔/體積
263‧‧‧(第三)空腔/體積
270‧‧‧加熱元件
272‧‧‧熱偶
300‧‧‧支持組件
305‧‧‧邊環
310‧‧‧支持構件
311‧‧‧頂板
311A‧‧‧突起
3112‧‧‧孔洞
313‧‧‧導管
314‧‧‧軸桿
316‧‧‧溝槽
320‧‧‧升舉環
323‧‧‧孔
325‧‧‧升舉銷
330‧‧‧升舉機構
333‧‧‧風箱
334‧‧‧氣體通道
335‧‧‧導管
350,352‧‧‧表面
354‧‧‧壁
356‧‧‧表面/外徑
358‧‧‧壁
360‧‧‧流體通道
361‧‧‧導管
362,364‧‧‧開口
370‧‧‧環主體
372‧‧‧內壁
374,376‧‧‧突唇
378‧‧‧內壁
380‧‧‧主體
382‧‧‧上表面
384‧‧‧下表面
388‧‧‧外表面
390‧‧‧凸緣
400‧‧‧上蓋組件
410‧‧‧第一電極
412‧‧‧進氣口
413‧‧‧上區段
415‧‧‧電源
420‧‧‧擴張區段
420A‧‧‧上半部
420B‧‧‧下半部
425‧‧‧(電腔)空腔
430‧‧‧內徑/壁/表面
440‧‧‧隔離器環
450‧‧‧第二電極
460‧‧‧頂板
462‧‧‧凹陷部分
463‧‧‧O型環
465‧‧‧孔洞
466‧‧‧O型環密封件
470‧‧‧分配板/噴灑頭
472‧‧‧安裝凸緣
474‧‧‧通路
475‧‧‧孔洞
480‧‧‧阻擋板
485‧‧‧孔洞
490‧‧‧上蓋框
492‧‧‧路徑
500‧‧‧結構/基板/金屬
525‧‧‧基板
545A,545B‧‧‧阻隔物
550‧‧‧氧化層
555‧‧‧閘極/閘極電極
560‧‧‧介電層
570A‧‧‧源極/源極區域
570B‧‧‧汲極/汲極區域
575‧‧‧氮化矽層
580‧‧‧間隔物
585‧‧‧氧化矽層
590‧‧‧金屬層
595‧‧‧金屬矽化物/接點
600‧‧‧系統
602,604‧‧‧負載鎖定室
610‧‧‧第一機器手臂
612,614,616,618‧‧‧處理室
622,624‧‧‧傳送室
630‧‧‧第二機器手臂
632,634,636,638‧‧‧處理室
902‧‧‧碟狀物
904,906,912,914‧‧‧表面
920‧‧‧圓柱體
922‧‧‧內徑壁
924‧‧‧外徑壁
926‧‧‧頂壁
928‧‧‧底壁
因此,藉由上述方式而可詳細了解本發明之特徵,另外,簡單摘要如上之本發明更特定的說明可參照實施例,且部分之實施例係繪於附圖中。然而,值得注意的是,附圖僅描述本發明典型之實施例,因此並不局限於此範圍,本發明也容許其他等效之實施例。
第1A圖為用以加熱、冷卻及蝕刻之處理室100的部份橫剖面圖。
第1B圖為配置在第1A圖之處理室內之襯墊的放大示意圖。
第2A圖為配置在第1A圖中腔室主體上半部之上蓋組件的放大橫剖面圖。
第2B圖和第2C圖為第2A圖之氣體分配板之放大示意圖。
第3A圖為支持組件之部份橫剖面圖,該組件至少部份配置在第1A圖之處理室主體112中。
第3B圖為第3A圖中支持組件300之放大部份橫剖面圖。
第4A圖為另一上蓋組件400之橫剖面示意圖。
第4B圖為第4A圖之上電極之放大部分橫剖面圖。
第4C圖為利用第4A圖之上蓋組件400之處理室100的部份橫剖面圖。
第5A至5H圖為形成主動電子元件(例如MOSFET結構)的製程順序之剖面示意圖。
第6圖為一範例之執行多重程序操作之多重反應室系統的示意圖。
112‧‧‧處理室主體
113‧‧‧通道
114‧‧‧開口
125‧‧‧真空幫浦
127‧‧‧節流閥
129‧‧‧抽氣通道
131‧‧‧真空埠
133‧‧‧襯墊
133C‧‧‧孔洞
135‧‧‧孔洞
140‧‧‧處理區
160‧‧‧狹縫閥開口
300‧‧‧支持組件
310‧‧‧支持構件
314‧‧‧軸桿
320‧‧‧升舉環
323‧‧‧孔
325‧‧‧升舉銷
330‧‧‧升舉機構
333‧‧‧風箱
360‧‧‧流體通道
400‧‧‧上蓋組件
410‧‧‧第一電極
412‧‧‧進氣口
413‧‧‧上區段
415‧‧‧電源
420‧‧‧擴張區段
420A‧‧‧上半部
420B‧‧‧下半部
425‧‧‧(電腔)空腔
430‧‧‧內徑/壁/表面
440‧‧‧隔離器環
450‧‧‧第二電極
460‧‧‧頂板
462‧‧‧凹陷部分
463‧‧‧O型環
465‧‧‧孔洞
466‧‧‧O型環密封件
470‧‧‧分配板/噴灑頭
472‧‧‧安裝凸緣
475‧‧‧孔洞
480‧‧‧阻擋板
485‧‧‧孔洞
490‧‧‧上蓋框
492‧‧‧通道/路徑

Claims (10)

  1. 一種支持組件,包括:一實質碟狀主體;一軸桿,係耦接至該碟狀主體,且該軸桿具有一真空導管、一熱傳送流體導管及一氣體導管;以及其中該碟狀主體包括:一上表面、一下表面及一圓柱狀外表面;一熱偶,係嵌設於該碟狀主體中;一凸緣,由該圓柱狀外表面徑向往外延伸,該下表面包括該凸緣之一側;一流體通道,係形成在該碟狀主體中而接近該凸緣及該下表面,該流體通道係耦接至該軸桿的該熱傳送流體導管;複數個溝槽,係形成該上表面中;一孔洞,將至少一該些溝槽耦接至該軸桿的該真空導管;以及一主體氣體導管,係形成穿過該碟狀主體,且將該軸桿的該氣體導管耦接至該圓柱狀外表面,該主體氣體導管之定向係實質垂直於該碟狀主體之一中線。
  2. 如申請專利範圍第1項所述之支持組件,更包括設置在該碟狀主體之該上表面上的一頂板,該頂板具有複數個孔洞,該些孔洞係與該碟狀主體的該些溝槽對準。
  3. 如申請專利範圍第2項所述之支持組件,該頂板為支撐在該碟狀主體的該上表面上之一可分開構件。
  4. 如申請專利範圍第2項所述之支持組件,其中該頂板包括複數個位於該頂板之一上表面上的突起(raised dimples),藉以最小化與支持於其上之一基板的接觸。
  5. 如申請專利範圍第4項所述之支持組件,其中該頂板為陶瓷。
  6. 如申請專利範圍第5項所述之支持組件,其中該頂板具有一或多個垂直孔,該些垂直孔與該碟狀主體中之一孔對準,用以容納穿過其中的一可動式支撐銷,且該支撐銷係由陶瓷材料構成。
  7. 如申請專利範圍第6項所述之支持組件,其中該一或多個垂直孔具有一陶瓷套筒為其襯墊,以降低與該可動式支撐銷之摩擦。
  8. 如申請專利範圍第1項所述之支持組件,更包括一環狀鋁環,該環狀鋁環係沿著該碟狀主體的該圓柱狀外表面的周圍設置,藉此,在該碟狀主體之周圍產生的一通道係與 該碟狀主體中的該主體氣體導管為流體連通,該環狀鋁環包括:一圓柱狀環主體,具有一內壁,該內壁係按一定尺寸製作以維持該碟狀主體之該圓柱狀外表面之間的一間隙;一頂部突唇,由該環主體徑向往內延伸而位於該碟狀主體之該上表面的上方;以及一底部突唇,由該環主體往下延伸並圍繞該凸緣,該底部突唇具有一內壁,而該環主體具有一共中心定位。
  9. 如申請專利範圍第1項所述之支持組件,其中該碟狀主體係由鋁製成。
  10. 如申請專利範圍第1項所述之支持組件,更包括:一可分開的陶瓷頂板,設置在該碟狀主體之該上表面上,該頂板具有複數個孔洞,該些孔洞係與該碟狀主體的該些溝槽對準,該頂板包括複數個位於該頂板之一上表面上的突起,藉以最小化與支持於其上之一基板的接觸,該頂板具有一或多個垂直孔,該些垂直孔與該碟狀主體中之一孔對準;一可動式陶瓷支撐銷,設置於該些經對準的孔中;一陶瓷套筒,設置在各個該些垂直孔中,以降低與該可動式支撐銷之摩擦;一環狀環,係沿著該碟狀主體的該圓柱狀外表面的周 圍設置,藉此,在該碟狀主體之周圍產生的一通道係與該碟狀主體中的該主體氣體導管為流體連通,該環狀環包括:一圓柱狀環主體,具有一內壁,該內壁係按一定尺寸製作以維持該碟狀主體之該圓柱狀外表面之間的一間隙;一頂部突唇,由該環主體徑向往內延伸而位於該碟狀主體之該上表面的上方;以及一底部突唇,由該環主體往下延伸並圍繞該凸緣,該底部突唇具有一內壁,而該環主體具有一共中心定位。
TW097151283A 2004-02-26 2005-02-24 支持組件 TWI393800B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US54783904P 2004-02-26 2004-02-26

Publications (2)

Publication Number Publication Date
TW200930829A TW200930829A (en) 2009-07-16
TWI393800B true TWI393800B (zh) 2013-04-21

Family

ID=34749068

Family Applications (5)

Application Number Title Priority Date Filing Date
TW097141002A TWI421370B (zh) 2004-02-26 2005-02-24 噴灑頭組件
TW099116864A TWI402371B (zh) 2004-02-26 2005-02-24 用於前段產線製程之原位乾式清洗反應器
TW094105688A TWI330669B (en) 2004-02-26 2005-02-24 In-situ dry clean chamber for front end of line fabrication
TW097151283A TWI393800B (zh) 2004-02-26 2005-02-24 支持組件
TW097151284A TWI386517B (zh) 2004-02-26 2005-02-24 射頻電極

Family Applications Before (3)

Application Number Title Priority Date Filing Date
TW097141002A TWI421370B (zh) 2004-02-26 2005-02-24 噴灑頭組件
TW099116864A TWI402371B (zh) 2004-02-26 2005-02-24 用於前段產線製程之原位乾式清洗反應器
TW094105688A TWI330669B (en) 2004-02-26 2005-02-24 In-situ dry clean chamber for front end of line fabrication

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW097151284A TWI386517B (zh) 2004-02-26 2005-02-24 射頻電極

Country Status (6)

Country Link
US (14) US20050230350A1 (zh)
EP (2) EP1568797B1 (zh)
JP (3) JP4960598B2 (zh)
KR (6) KR101148431B1 (zh)
CN (4) CN101916740B (zh)
TW (5) TWI421370B (zh)

Families Citing this family (463)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
JP4908738B2 (ja) * 2002-01-17 2012-04-04 サンデュー・テクノロジーズ・エルエルシー Ald方法
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
TWI274978B (en) * 2004-02-25 2007-03-01 Advanced Display Proc Eng Co Apparatus for manufacturing flat-panel display
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US20070051388A1 (en) 2005-09-06 2007-03-08 Applied Materials, Inc. Apparatus and methods for using high frequency chokes in a substrate deposition apparatus
JP4806241B2 (ja) * 2005-09-14 2011-11-02 東京エレクトロン株式会社 基板処理装置及び基板リフト装置
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
KR100672731B1 (ko) * 2005-10-04 2007-01-24 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
JP5046506B2 (ja) * 2005-10-19 2012-10-10 東京エレクトロン株式会社 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
US7850779B2 (en) * 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7662723B2 (en) * 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
JP4601070B2 (ja) * 2006-01-17 2010-12-22 東京エレクトロン株式会社 熱処理装置
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US20170046458A1 (en) 2006-02-14 2017-02-16 Power Analytics Corporation Systems and methods for real-time dc microgrid power analytics for mission-critical power systems
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US7743731B2 (en) * 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
JP5042517B2 (ja) * 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20070248767A1 (en) * 2006-04-19 2007-10-25 Asm Japan K.K. Method of self-cleaning of carbon-based film
JP2007311540A (ja) * 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7651948B2 (en) * 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
JP2008027796A (ja) * 2006-07-24 2008-02-07 Canon Inc プラズマ処理装置
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US8293066B2 (en) * 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
JP5260861B2 (ja) * 2006-11-29 2013-08-14 東京エレクトロン株式会社 キャパシタ電極の製造方法と製造システムおよび記録媒体
US7967996B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US20080179007A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using plasma products in a lower process zone and purge gases in an upper process zone
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
JP4949091B2 (ja) * 2007-03-16 2012-06-06 東京エレクトロン株式会社 基板処理装置、基板処理方法および記録媒体
US7670952B2 (en) * 2007-03-23 2010-03-02 Texas Instruments Incorporated Method of manufacturing metal silicide contacts
US20080236614A1 (en) * 2007-03-30 2008-10-02 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US20100151677A1 (en) * 2007-04-12 2010-06-17 Freescale Semiconductor, Inc. Etch method in the manufacture of a semiconductor device
US7732353B2 (en) * 2007-04-18 2010-06-08 Ultratech, Inc. Methods of forming a denuded zone in a semiconductor wafer using rapid laser annealing
KR100898440B1 (ko) * 2007-06-27 2009-05-21 주식회사 동부하이텍 플래시 메모리 소자의 제조 방법
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
CN101399197B (zh) * 2007-09-30 2011-12-07 北京北方微电子基地设备工艺研究中心有限责任公司 一种腔室的衬
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US7659616B2 (en) 2007-10-10 2010-02-09 International Business Machines Corporation On-chip cooling systems for integrated circuits
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7967994B2 (en) * 2007-10-25 2011-06-28 Ovonyx, Inc. Method and apparatus for chalcogenide device formation
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US20090197015A1 (en) * 2007-12-25 2009-08-06 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
KR100952671B1 (ko) * 2007-12-27 2010-04-13 세메스 주식회사 척킹부재, 이를 갖는 기판 처리 장치 및 이를 이용한 기판 처리 방법
US8883650B2 (en) * 2008-01-24 2014-11-11 United Microelectronics Corp. Method of removing oxides
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
US20090191703A1 (en) * 2008-01-29 2009-07-30 Applied Materials, Inc. Process with saturation at low etch amount for high contact bottom cleaning efficiency for chemical dry clean process
US20090236682A1 (en) * 2008-03-20 2009-09-24 Hocine Boubekeur Layer stack including a tungsten layer
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8333842B2 (en) * 2008-05-15 2012-12-18 Applied Materials, Inc. Apparatus for etching semiconductor wafers
WO2009144810A1 (ja) * 2008-05-30 2009-12-03 キヤノンアネルバ株式会社 シリサイド形成方法とその装置
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
CN101740338B (zh) * 2008-11-24 2012-07-18 中芯国际集成电路制造(北京)有限公司 薄膜去除方法
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
KR101566922B1 (ko) * 2009-02-16 2015-11-09 삼성전자주식회사 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법
SG173462A1 (en) 2009-03-05 2011-09-29 Applied Materials Inc Methods for depositing layers having reduced interfacial contamination
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110082597A1 (en) 2009-10-01 2011-04-07 Edsa Micro Corporation Microgrid model based automated real time simulation for market based electric power system optimization
US8525139B2 (en) * 2009-10-27 2013-09-03 Lam Research Corporation Method and apparatus of halogen removal
US8232538B2 (en) * 2009-10-27 2012-07-31 Lam Research Corporation Method and apparatus of halogen removal using optimal ozone and UV exposure
CN102054687B (zh) * 2009-11-10 2012-05-23 中芯国际集成电路制造(上海)有限公司 表面氧化物的去除方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
TWI558841B (zh) * 2009-12-22 2016-11-21 應用材料股份有限公司 狹縫閥通道支撐件
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
KR101126389B1 (ko) * 2009-12-29 2012-03-28 주식회사 케이씨텍 원자층 증착장치의 서셉터 유닛
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
KR101155291B1 (ko) * 2010-02-22 2012-06-12 주식회사 테스 건식식각장치 및 이를 구비한 기판처리시스템
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
JP5889806B2 (ja) * 2010-03-12 2016-03-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複式噴射を伴う原子層堆積チャンバ
US8435902B2 (en) * 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
KR20110114030A (ko) 2010-04-12 2011-10-19 삼성전자주식회사 플래시 메모리 장치의 제조 방법
US9004006B2 (en) 2010-04-28 2015-04-14 Applied Materials, Inc. Process chamber lid design with built-in plasma source for short lifetime species
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
TW201202463A (en) * 2010-06-09 2012-01-16 Intevac Inc Full-enclosure, controlled-flow mini-environment for thin film chambers
US8453656B2 (en) 2010-06-25 2013-06-04 Anastasios J. Tousimis Integrated processing and critical point drying systems for semiconductor and MEMS devices
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
JP5647845B2 (ja) * 2010-09-29 2015-01-07 株式会社Screenホールディングス 基板乾燥装置及び基板乾燥方法
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
CN103109357B (zh) * 2010-10-19 2016-08-24 应用材料公司 用于紫外线纳米固化腔室的石英喷洒器
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012118606A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Thin heated substrate support
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
KR101843609B1 (ko) 2011-03-04 2018-05-14 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR20120108324A (ko) * 2011-03-23 2012-10-05 한국기초과학지원연구원 중성 입자빔을 이용한 발광 소자 제조 방법 및 그 장치
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101870667B1 (ko) * 2011-08-17 2018-06-26 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US20130052809A1 (en) * 2011-08-25 2013-02-28 United Microelectronics Corporation Pre-clean method for epitaxial deposition and applications thereof
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
TWI594667B (zh) * 2011-10-05 2017-08-01 應用材料股份有限公司 對稱電漿處理腔室
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5338979B1 (ja) * 2011-10-24 2013-11-13 トヨタ自動車株式会社 半導体モジュール
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5977986B2 (ja) * 2011-11-08 2016-08-24 株式会社日立ハイテクノロジーズ 熱処理装置
WO2013074369A1 (en) * 2011-11-15 2013-05-23 Applied Materials, Inc. Method and apparatus for selective nitridation process
KR101356664B1 (ko) * 2012-02-03 2014-02-05 주식회사 유진테크 측방배기 방식 기판처리장치
KR20220025123A (ko) 2012-02-14 2022-03-03 엔테그리스, 아이엔씨. 주입 빔 및 소스 수명 성능 개선을 위한 탄소 도판트 기체 및 동축류
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
CN103377868A (zh) * 2012-04-14 2013-10-30 靖江先锋半导体科技有限公司 一种刻蚀电极机中的下电极装置
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US8647439B2 (en) * 2012-04-26 2014-02-11 Applied Materials, Inc. Method of epitaxial germanium tin alloy surface preparation
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9034773B2 (en) * 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN102814305B (zh) * 2012-08-03 2015-04-08 京东方科技集团股份有限公司 用于刻蚀工艺前清洁腔室的装置及方法
US20140053984A1 (en) * 2012-08-27 2014-02-27 Hyun Ho Doh Symmetric return liner for modulating azimuthal non-uniformity in a plasma processing system
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140083360A1 (en) * 2012-09-26 2014-03-27 Applied Materials, Inc. Process chamber having more uniform gas flow
US9177780B2 (en) * 2012-10-02 2015-11-03 Applied Materials, Inc. Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
US8980761B2 (en) * 2012-10-03 2015-03-17 Applied Materials, Inc. Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment
TWI591712B (zh) * 2012-10-03 2017-07-11 應用材料股份有限公司 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻
CN103785646A (zh) * 2012-10-30 2014-05-14 中微半导体设备(上海)有限公司 反应腔室清洗方法
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
TW201430996A (zh) * 2012-11-12 2014-08-01 Greene Tweed & Co Inc 用於在供基板處理之一真空腔室內之一環形組件的機械式夾具總成
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
JP5507654B2 (ja) * 2012-11-30 2014-05-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN103915306B (zh) * 2012-12-31 2016-04-20 北京北方微电子基地设备工艺研究中心有限责任公司 微电子工艺处理设备和用于其的反应腔室
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9123758B2 (en) * 2013-02-06 2015-09-01 Applied Materials, Inc. Gas injection apparatus and substrate process chamber incorporating same
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9685316B2 (en) * 2013-02-25 2017-06-20 United Microelectronics Corp. Semiconductor process
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102200277B1 (ko) * 2013-03-15 2021-01-07 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱을 위한 챔버 디자인
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
JP6368773B2 (ja) * 2013-04-30 2018-08-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的に分散されたガス流路を有する流量制御ライナー
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR20160024914A (ko) * 2013-07-26 2016-03-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
JP6637420B2 (ja) 2013-08-09 2020-01-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャル成長に先立って基板表面を予洗浄するための方法及び装置
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150083042A1 (en) * 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator
US9472416B2 (en) * 2013-10-21 2016-10-18 Applied Materials, Inc. Methods of surface interface engineering
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
TW201522696A (zh) 2013-11-01 2015-06-16 Applied Materials Inc 使用遠端電漿cvd技術的低溫氮化矽膜
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
DE102013020106A1 (de) * 2013-12-06 2015-06-11 Oliver Feddersen-Clausen Reaktionskammer insbesondere für Atomic Laver Deposition
CN103695839B (zh) * 2013-12-07 2016-05-18 深圳市金凯新瑞光电有限公司 一种应用在镀膜设备中的离子源清洗装置
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
KR102458230B1 (ko) * 2014-01-21 2022-10-21 어플라이드 머티어리얼스, 인코포레이티드 저압 툴 교체를 허용하는 얇은 필름 캡슐화 프로세싱 시스템 및 프로세스 키트
JP2015138931A (ja) * 2014-01-24 2015-07-30 株式会社日立ハイテクノロジーズ 真空処理装置および真空処理方法
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9824865B2 (en) * 2014-03-05 2017-11-21 Lam Research Corporation Waferless clean in dielectric etch process
US9673092B2 (en) * 2014-03-06 2017-06-06 Asm Ip Holding B.V. Film forming apparatus, and method of manufacturing semiconductor device
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9368370B2 (en) * 2014-03-14 2016-06-14 Applied Materials, Inc. Temperature ramping using gas distribution plate heat
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
JP5941491B2 (ja) * 2014-03-26 2016-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びにプログラム
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9380694B2 (en) * 2014-04-17 2016-06-28 Millenium Synthfuels Corporation Plasma torch having an externally adjustable anode and cathode
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
WO2015196149A1 (en) * 2014-06-20 2015-12-23 Velo3D, Inc. Apparatuses, systems and methods for three-dimensional printing
US11302520B2 (en) 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US20160032451A1 (en) * 2014-07-29 2016-02-04 Applied Materials, Inc. Remote plasma clean source feed between backing plate and diffuser
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9558928B2 (en) 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9653320B2 (en) 2014-09-12 2017-05-16 Applied Materials, Inc. Methods for etching a hardmask layer for an interconnection structure for semiconductor applications
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9359679B2 (en) 2014-10-03 2016-06-07 Applied Materials, Inc. Methods for cyclically etching a metal layer for an interconnection structure for semiconductor applications
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US9368369B2 (en) 2014-11-06 2016-06-14 Applied Materials, Inc. Methods for forming a self-aligned contact via selective lateral etch
US9520302B2 (en) 2014-11-07 2016-12-13 Applied Materials, Inc. Methods for controlling Fin recess loading
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102438139B1 (ko) 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10199230B2 (en) * 2015-05-01 2019-02-05 Applied Materials, Inc. Methods for selective deposition of metal silicides via atomic layer deposition cycles
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9595452B2 (en) 2015-05-27 2017-03-14 Lam Research Corporation Residue free oxide etch
US10053774B2 (en) 2015-06-12 2018-08-21 Asm Ip Holding B.V. Reactor system for sublimation of pre-clean byproducts and method thereof
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10008366B2 (en) 2015-09-08 2018-06-26 Applied Materials, Inc. Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
US10322384B2 (en) * 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
JP2019504507A (ja) * 2016-02-05 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複数種類のチャンバを有する集積型の層エッチングシステム
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2017215806A1 (en) * 2016-06-15 2017-12-21 Evatec Ag Vacuum treatment chamber and method of manufacturing a vacuum treated plate-shaped substrate
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
US20180053628A1 (en) * 2016-08-18 2018-02-22 Mattson Technology, Inc. Separation Grid for Plasma Chamber
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6827287B2 (ja) 2016-09-28 2021-02-10 株式会社日立ハイテク プラズマ処理装置の運転方法
US10249525B2 (en) * 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6820717B2 (ja) 2016-10-28 2021-01-27 株式会社日立ハイテク プラズマ処理装置
US20180122670A1 (en) * 2016-11-01 2018-05-03 Varian Semiconductor Equipment Associates, Inc. Removable substrate plane structure ring
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10504720B2 (en) * 2016-11-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Etching using chamber with top plate formed of non-oxygen containing material
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102587615B1 (ko) * 2016-12-21 2023-10-11 삼성전자주식회사 플라즈마 처리 장치의 온도 조절기 및 이를 포함하는 플라즈마 처리 장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR101850895B1 (ko) * 2017-01-03 2018-04-20 한국표준과학연구원 플라즈마 발생 장치
US10629416B2 (en) * 2017-01-23 2020-04-21 Infineon Technologies Ag Wafer chuck and processing arrangement
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10249532B2 (en) 2017-02-27 2019-04-02 International Business Machines Corporation Modulating the microstructure of metallic interconnect structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR102431354B1 (ko) 2017-07-11 2022-08-11 삼성디스플레이 주식회사 화학기상 증착장치 및 이를 이용한 표시 장치의 제조 방법
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10190216B1 (en) * 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) * 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6772117B2 (ja) 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
CN109427647B (zh) * 2017-09-04 2021-04-20 联华电子股份有限公司 隔离结构的制作方法
US10907252B2 (en) * 2017-10-23 2021-02-02 Applied Materials, Inc. Horizontal heat choke faceplate design
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN107937886A (zh) * 2017-11-14 2018-04-20 武汉华星光电半导体显示技术有限公司 化学气相沉积设备及成膜方法
JP6890085B2 (ja) * 2017-11-30 2021-06-18 東京エレクトロン株式会社 基板処理装置
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10410854B2 (en) * 2017-12-28 2019-09-10 Globalfoundries Singapore Pte. Ltd. Method and device for reducing contamination for reliable bond pads
US10766057B2 (en) * 2017-12-28 2020-09-08 Micron Technology, Inc. Components and systems for cleaning a tool for forming a semiconductor device, and related methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
JP7066438B2 (ja) * 2018-02-13 2022-05-13 東京エレクトロン株式会社 冷却システム
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11515130B2 (en) * 2018-03-05 2022-11-29 Applied Materials, Inc. Fast response pedestal assembly for selective preclean
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
JP2021521648A (ja) * 2018-04-17 2021-08-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 加熱されるセラミック面板
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11328929B2 (en) * 2018-05-01 2022-05-10 Applied Materials, Inc. Methods, apparatuses and systems for substrate processing for lowering contact resistance
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
CN111601910B (zh) * 2018-06-20 2022-11-01 株式会社爱发科 真空处理装置及支撑轴
EP3588533A1 (en) * 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION
JP7110020B2 (ja) * 2018-07-24 2022-08-01 キオクシア株式会社 基板支持装置およびプラズマ処理装置
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
JP6966402B2 (ja) * 2018-09-11 2021-11-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および基板処理装置の電極
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP2022502845A (ja) * 2018-09-26 2022-01-11 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated ガス分配アセンブリおよびその動作
SG11202101649WA (en) 2018-09-28 2021-04-29 Applied Materials Inc Coaxial lift device with dynamic leveling
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102386210B1 (ko) * 2018-10-15 2022-04-12 세메스 주식회사 가열 플레이트 냉각 방법과 기판 처리 장치 및 방법
WO2020081367A1 (en) 2018-10-19 2020-04-23 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109600898B (zh) * 2018-12-13 2020-04-17 大连理工大学 一种喷淋式电极及放电系统
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2020123672A (ja) 2019-01-30 2020-08-13 東京エレクトロン株式会社 基板処理装置の制御方法、基板処理装置及びクラスタシステム
CN111771262B (zh) 2019-02-01 2023-12-08 株式会社日立高新技术 蚀刻方法以及等离子处理装置
CN112119485B (zh) 2019-04-22 2024-01-02 株式会社日立高新技术 等离子处理方法
US20200377998A1 (en) * 2019-05-28 2020-12-03 Applied Materials, Inc. Apparatus for improved flow control in process chambers
KR102628919B1 (ko) * 2019-05-29 2024-01-24 주식회사 원익아이피에스 기판처리장치 및 이를 이용한 기판처리방법
KR20210005515A (ko) * 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR20220038150A (ko) * 2019-07-29 2022-03-25 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱 챔버 및 이를 세정하기 위한 방법들
US10692730B1 (en) 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
US10991547B2 (en) 2019-09-25 2021-04-27 Applied Materials, Inc. Method and device for a carrier proximity mask
US10957512B1 (en) * 2019-09-25 2021-03-23 Applied Materials, Inc. Method and device for a carrier proximity mask
TWI721578B (zh) * 2019-09-27 2021-03-11 聚昌科技股份有限公司 快速更換產線之模組化電漿反應腔室結構
US11236424B2 (en) 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
TW202125573A (zh) * 2019-11-16 2021-07-01 美商應用材料股份有限公司 具有嵌入式螺帽的噴淋頭
US20210175103A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. In situ failure detection in semiconductor processing chambers
KR102274459B1 (ko) * 2019-12-27 2021-07-07 한국기계연구원 플라즈마 세정장치 및 이를 구비한 반도체 공정설비
WO2021150625A1 (en) 2020-01-23 2021-07-29 Applied Materials, Inc. Method of cleaning a structure and method of depositiing a capping layer in a structure
WO2021162932A1 (en) * 2020-02-10 2021-08-19 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
CN111312583B (zh) * 2020-04-01 2022-04-29 山东职业学院 一种制备半导体硅芯片的生产工艺
US11854839B2 (en) 2020-04-15 2023-12-26 Mks Instruments, Inc. Valve apparatuses and related methods for reactive process gas isolation and facilitating purge during isolation
US20210335586A1 (en) * 2020-04-22 2021-10-28 Applied Materials, Inc. Methods and apparatus for cleaning a showerhead
CN115461493A (zh) * 2020-04-28 2022-12-09 朗姆研究公司 用于控制晶片斜边/边缘上的沉积的喷头设计
KR102629845B1 (ko) 2020-06-16 2024-01-29 주식회사 히타치하이테크 플라스마 처리 장치 및 플라스마 처리 방법
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
CN114402426A (zh) * 2020-08-18 2022-04-26 玛特森技术公司 具有冷却系统的快速热处理系统
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
US20220195617A1 (en) * 2020-12-22 2022-06-23 Applied Materials, Inc. Multi-layer epi chamber body
JP7312160B2 (ja) * 2020-12-28 2023-07-20 株式会社アルバック エッチング装置及びエッチング方法
CN112813415A (zh) * 2020-12-31 2021-05-18 拓荆科技股份有限公司 腔体内的清洁方法
CN115142046B (zh) * 2021-03-31 2024-03-12 中微半导体设备(上海)股份有限公司 基片承载组件、化学气相沉积设备及吹扫方法
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
US11851758B2 (en) * 2021-04-20 2023-12-26 Applied Materials, Inc. Fabrication of a high temperature showerhead
US20230009692A1 (en) * 2021-07-07 2023-01-12 Applied Materials, Inc Coated substrate support assembly for substrate processing

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6241845B1 (en) * 1996-06-05 2001-06-05 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
TW454264B (en) * 1999-04-15 2001-09-11 Tokyo Electron Ltd Plasma processing apparatus

Family Cites Families (376)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) * 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3756511A (en) * 1971-02-02 1973-09-04 Kogyo Kaihatsu Kenyusho Nozzle and torch for plasma jet
US4232060A (en) * 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4397812A (en) * 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US3937857A (en) * 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) * 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US4230515A (en) * 1978-07-27 1980-10-28 Davis & Wilder, Inc. Plasma etching apparatus
US4265943A (en) * 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) * 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4361441A (en) * 1979-04-17 1982-11-30 Plasma Holdings N.V. Treatment of matter in low temperature plasmas
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) * 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4405435A (en) * 1980-08-27 1983-09-20 Hitachi, Ltd. Apparatus for performing continuous treatment in vacuum
US4368223A (en) * 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (ja) * 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS63204726A (ja) * 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
EP0286306B1 (en) * 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
US4753898A (en) * 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US4810520A (en) * 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
KR930003136B1 (ko) * 1987-10-14 1993-04-22 후루가와덴기 고오교오 가부시기가이샤 프라즈마 cvd에 의한 박막 형성장치
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
JPH02121330A (ja) 1988-10-31 1990-05-09 Hitachi Ltd プラズマ処理方法及び装置
US5030319A (en) * 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5000319A (en) * 1989-06-02 1991-03-19 Leon Mermelstein Negative storage page with lock-in flaps
US4994404A (en) * 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
DE69111493T2 (de) 1990-03-12 1996-03-21 Ngk Insulators Ltd Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten.
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5147692A (en) * 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
US5578130A (en) * 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
JP2787142B2 (ja) * 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
US5203911A (en) * 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5240497A (en) * 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5380560A (en) * 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5382311A (en) * 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5500249A (en) * 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
EP0628644B1 (en) * 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) * 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
EP0637063B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
US5468597A (en) * 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JPH07193214A (ja) 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5415890A (en) * 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5531835A (en) * 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5767373A (en) * 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW323387B (zh) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5716485A (en) * 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
JP2814370B2 (ja) * 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6197364B1 (en) * 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
AU6962196A (en) * 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
US5910340A (en) * 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) * 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) * 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5733816A (en) * 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) * 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
EP0811083B1 (en) * 1995-12-19 2000-05-31 FSI International Electroless deposition of metal films with spray processor
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
AU2343397A (en) 1996-03-25 1997-10-17 S. George Lesinski Attaching an implantable hearing aid microactuator
US5846373A (en) * 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5917285A (en) * 1996-07-24 1999-06-29 Georgia Tech Research Corporation Apparatus and method for reducing operating voltage in gas discharge devices
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5747373A (en) * 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5904827A (en) * 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5951776A (en) * 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
JPH10154699A (ja) 1996-11-25 1998-06-09 Anelva Corp リモートプラズマ型プラズマ処理装置
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
DE19700231C2 (de) * 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5885749A (en) * 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) * 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6518155B1 (en) * 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6086688A (en) * 1997-07-28 2000-07-11 Alcan International Ltd. Cast metal-matrix composite material and its use
US6364957B1 (en) * 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
JP3874911B2 (ja) 1997-10-15 2007-01-31 株式会社Neomaxマテリアル 微小プラスチック球へのめっき方法
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
JPH11204442A (ja) 1998-01-12 1999-07-30 Tokyo Electron Ltd 枚葉式の熱処理装置
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) * 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
JP2002510878A (ja) * 1998-04-02 2002-04-09 アプライド マテリアルズ インコーポレイテッド 低k誘電体をエッチングする方法
US6117245A (en) * 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6113771A (en) * 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
DE69929607T2 (de) 1998-06-30 2006-07-27 Semitool, Inc., Kalispell Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6248429B1 (en) * 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP2000026975A (ja) * 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) * 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
KR100271770B1 (ko) * 1998-09-03 2001-02-01 윤종용 반도체장치 제조를 위한 플라즈마 공정챔버
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6180523B1 (en) * 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) * 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) * 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
JP4124543B2 (ja) 1998-11-11 2008-07-23 東京エレクトロン株式会社 表面処理方法及びその装置
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6251236B1 (en) * 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6228233B1 (en) * 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6015747A (en) * 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) * 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) * 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6144099A (en) * 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6541671B1 (en) * 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
US6464795B1 (en) * 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6110530A (en) * 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6258223B1 (en) * 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6516815B1 (en) * 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6351013B1 (en) * 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
JP4057198B2 (ja) 1999-08-13 2008-03-05 東京エレクトロン株式会社 処理装置及び処理方法
US6375748B1 (en) * 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) * 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
DE29919142U1 (de) * 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasmadüse
US6551924B1 (en) * 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
KR20010051575A (ko) 1999-11-09 2001-06-25 조셉 제이. 스위니 살리사이드 처리를 위한 화학적 플라즈마 세정
TW484170B (en) * 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
US6596085B1 (en) * 2000-02-01 2003-07-22 Applied Materials, Inc. Methods and apparatus for improved vaporization of deposition material in a substrate processing system
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6958098B2 (en) * 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP2001355074A (ja) * 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
JP2001308023A (ja) * 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
US6458718B1 (en) * 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6729081B2 (en) 2000-06-09 2004-05-04 United Solar Systems Corporation Self-adhesive photovoltaic module
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002100578A (ja) 2000-09-25 2002-04-05 Crystage Co Ltd 薄膜形成装置
WO2002043116A2 (en) * 2000-11-01 2002-05-30 Applied Materials, Inc. Etching of high aspect ratio features in a substrate
JP2004513516A (ja) * 2000-11-01 2004-04-30 アプライド マテリアルズ インコーポレイテッド 拡張されたプロセスウィンドウを有する誘電体エッチングチャンバ
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) * 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
AUPR179500A0 (en) * 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6291348B1 (en) * 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) * 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6849854B2 (en) * 2001-01-18 2005-02-01 Saintech Pty Ltd. Ion source
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
KR100922241B1 (ko) * 2001-02-09 2009-10-15 도쿄엘렉트론가부시키가이샤 성막 장치 및 샤워헤드 구조체
JP4260404B2 (ja) * 2001-02-09 2009-04-30 東京エレクトロン株式会社 成膜装置
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
JP3707394B2 (ja) * 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
KR100687531B1 (ko) * 2001-05-09 2007-02-27 에이에스엠 저펜 가부시기가이샤 반도체 장치용 저유전상수 절연막의 형성방법
JP4720019B2 (ja) * 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US6717189B2 (en) * 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
CN1516895A (zh) * 2001-06-14 2004-07-28 马特森技术公司 用于铜互连的阻挡层增强工艺
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6573606B2 (en) * 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
JP2003019433A (ja) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US7138649B2 (en) 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
CN1329972C (zh) * 2001-08-13 2007-08-01 株式会社荏原制作所 半导体器件及其制造方法
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
WO2003018867A1 (en) 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
EP1302988A3 (de) 2001-10-12 2007-01-24 Bayer MaterialScience AG Photovoltaik-Module mit einer thermoplastischen Schmelzklebeschicht sowie ein Verfahren zu ihrer Herstellung
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP3954833B2 (ja) 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
KR20040066170A (ko) 2001-12-13 2004-07-23 어플라이드 머티어리얼스, 인코포레이티드 질화물 숄더에 대해 높은 민감도를 갖는 자기 정렬 콘택에칭
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) * 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030116439A1 (en) * 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US6821379B2 (en) * 2001-12-21 2004-11-23 The Procter & Gamble Company Portable apparatus and method for treating a workpiece
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (ja) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd 放電プラズマ処理装置
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6806653B2 (en) * 2002-01-31 2004-10-19 Tokyo Electron Limited Method and structure to segment RF coupling to silicon electrode
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7256370B2 (en) * 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6913651B2 (en) * 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) * 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
JP2003347278A (ja) * 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
WO2004006303A2 (en) * 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) * 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
AU2003282533A1 (en) * 2002-08-08 2004-02-25 Trikon Technologies Limited Improvements to showerheads
US20040033677A1 (en) * 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6699380B1 (en) * 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
JP3838969B2 (ja) 2002-12-17 2006-10-25 沖電気工業株式会社 ドライエッチング方法
US6720213B1 (en) * 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
CN101457338B (zh) * 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
JP4585510B2 (ja) 2003-03-07 2010-11-24 台湾積體電路製造股▲ふん▼有限公司 シャロートレンチアイソレーションプロセス
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
US20050022735A1 (en) * 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US20050035455A1 (en) * 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7282244B2 (en) * 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
WO2005036615A2 (en) 2003-10-06 2005-04-21 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
WO2005087974A2 (en) 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
KR20070009729A (ko) 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7122949B2 (en) * 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US7217626B2 (en) * 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
KR100593740B1 (ko) 2004-09-16 2006-06-28 삼성전자주식회사 반도체 자연산화막 제거방법
EP1831430A2 (en) * 2004-12-21 2007-09-12 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
JP4475136B2 (ja) * 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
TW200707640A (en) 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
US20070071888A1 (en) * 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US20070087573A1 (en) 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US20110232737A1 (en) 2007-12-04 2011-09-29 Parabel Ag Multilayer solar element
JP2009170890A (ja) 2007-12-18 2009-07-30 Takashima & Co Ltd 可撓性膜状太陽電池複層体

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6241845B1 (en) * 1996-06-05 2001-06-05 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
TW454264B (en) * 1999-04-15 2001-09-11 Tokyo Electron Ltd Plasma processing apparatus

Also Published As

Publication number Publication date
KR20080111424A (ko) 2008-12-23
US10593539B2 (en) 2020-03-17
EP2787099A2 (en) 2014-10-08
US20090095334A1 (en) 2009-04-16
US20090095621A1 (en) 2009-04-16
CN100487857C (zh) 2009-05-13
KR101228996B1 (ko) 2013-02-04
TWI402371B (zh) 2013-07-21
JP2011205135A (ja) 2011-10-13
US20200006054A1 (en) 2020-01-02
KR101192099B1 (ko) 2012-10-17
US7767024B2 (en) 2010-08-03
TW200934887A (en) 2009-08-16
CN101916715B (zh) 2012-11-28
TWI386517B (zh) 2013-02-21
US8846163B2 (en) 2014-09-30
CN101916740A (zh) 2010-12-15
US7396480B2 (en) 2008-07-08
JP2005244244A (ja) 2005-09-08
JP5028536B2 (ja) 2012-09-19
KR20080110566A (ko) 2008-12-18
TW200923126A (en) 2009-06-01
EP1568797B1 (en) 2014-07-23
KR20110110748A (ko) 2011-10-07
CN101241844A (zh) 2008-08-13
US20120267346A1 (en) 2012-10-25
EP1568797A2 (en) 2005-08-31
JP2011205154A (ja) 2011-10-13
US20050218507A1 (en) 2005-10-06
US20080268645A1 (en) 2008-10-30
US20050230350A1 (en) 2005-10-20
US20110223755A1 (en) 2011-09-15
US20050221552A1 (en) 2005-10-06
JP4960598B2 (ja) 2012-06-27
TW200930829A (en) 2009-07-16
KR101148431B1 (ko) 2012-05-23
US20050205110A1 (en) 2005-09-22
EP2787099A3 (en) 2014-12-03
KR101107919B1 (ko) 2012-01-25
KR20110110746A (ko) 2011-10-07
US7520957B2 (en) 2009-04-21
CN101916740B (zh) 2013-01-02
KR101234740B1 (ko) 2013-02-19
CN1681079A (zh) 2005-10-12
US20120244704A1 (en) 2012-09-27
US20140076234A1 (en) 2014-03-20
US8343307B2 (en) 2013-01-01
KR101324651B1 (ko) 2013-11-01
TW200533777A (en) 2005-10-16
TWI330669B (en) 2010-09-21
EP1568797A3 (en) 2006-10-04
KR20080110967A (ko) 2008-12-22
TWI421370B (zh) 2014-01-01
TW201102455A (en) 2011-01-16
US20090111280A1 (en) 2009-04-30
CN101916715A (zh) 2010-12-15
US20210225640A1 (en) 2021-07-22
JP5250668B2 (ja) 2013-07-31
CN101241844B (zh) 2010-09-22
KR20060042255A (ko) 2006-05-12

Similar Documents

Publication Publication Date Title
TWI393800B (zh) 支持組件
TWI387667B (zh) 用於自化學氣相蝕刻處理室移除副產物沉積的原位處理室清潔製程
KR20070087196A (ko) 화학 기상 에칭 챔버로부터 부산물 증착을 제거하기 위한인-시튜 챔버 세정 방법
KR101248182B1 (ko) Feol 제조를 위한 인시튜 세정 챔버