KR101248182B1 - Feol 제조를 위한 인시튜 세정 챔버 - Google Patents

Feol 제조를 위한 인시튜 세정 챔버 Download PDF

Info

Publication number
KR101248182B1
KR101248182B1 KR1020110090796A KR20110090796A KR101248182B1 KR 101248182 B1 KR101248182 B1 KR 101248182B1 KR 1020110090796 A KR1020110090796 A KR 1020110090796A KR 20110090796 A KR20110090796 A KR 20110090796A KR 101248182 B1 KR101248182 B1 KR 101248182B1
Authority
KR
South Korea
Prior art keywords
substrate
support member
fluid
assembly
support assembly
Prior art date
Application number
KR1020110090796A
Other languages
English (en)
Other versions
KR20110110747A (ko
Inventor
토드 제이. 브릴
마이클 테페라
아밑 퓨리
다니엘 알. 제숍
글래디 엘. 워너
데이비드 씨. 듀핀
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110110747A publication Critical patent/KR20110110747A/ko
Application granted granted Critical
Publication of KR101248182B1 publication Critical patent/KR101248182B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

본 발명은 기판 표면으로부터 자연 산화물을 제거하는 방법 및 장치에 관한 것이다. 본 발명의 일 양상에서, 챔버는 챔버 몸체부, 및 챔버 몸체부에 적어도 일부에 배치되고 기판을 지지하는데 적용되는 지지 어셈블리를 포함한다. 지지 어셈블리는 내부에 적어도 부분적으로 형성되고 기판을 냉각시킬 수 있는 하나 이상의 유체 채널을 포함한다. 채널은 챔버 몸체부의 상부면에 배치된 리드 어셈블리를 더 포함한다. 리드 어셈블리는 제 1 전극 및 제 2 전극을 포함하며, 이들 두 전극 사이에 플라즈마 캐비티가 한정되며, 제 2 전극은 기판과 접속하여 가열하도록 적용된다.

Description

FEOL 제조를 위한 인시튜 세정 챔버{IN-SITU CLEAN CHAMBER FOR FRONT END OF LINE FABRICATION}
본 발명의 실시예는 반도체 처리 장치에 관한 것이다. 특히, 본 발명의 실시예는 반도체 제조용 화학적 기상 증착(CVD) 시스템 및 상기 시스템을 이용한 인시튜(in situ) 건조 세정 방법에 관한 것이다.
통상적으로 기판 표면이 산소에 노출되는 경우 자연 산화물이 형성된다. 기판이 대기 조건에서 처리 챔버들 사이에서 이동하는 경우, 또는 진공 챔버내에 남아있는 소량의 산소가 기판 표면과 접촉되는 경우 산소 노출이 이루어진다. 또한, 자연 산화물은 에칭 동안 기판 표면 오염되는 경우 발생할 수도 있다. 전형적으로 자연 산화물은 기판 표면상에 원치않는 막을 형성한다. 일반적으로 자연 산화물은 5 내지 20 옴스트롱 사이로 매우 얇지만, 후속하는 제조 프로세스에서 문제점을 발생시키는데 있어서는 충분히 두껍다.
일반적으로 이러한 문제점은 기판상에 형성되는 반도체 소자의 전기적 특성에 악영향을 미친다. 예를 들어, 자연 실리콘 산화물이 노출된 실리콘 함유층 상에 형성되는 경우, 특히, 금속 산화물 실리콘 전계 효과 트랜지스터("MOSFET") 구조를 처리하는 동안 특정 문제가 발생한다. 실리콘 산화물막은 전기적으로 절연성이며 높은 전기적 콘택 저항을 발생시키기 때문에 콘택 전극 또는 상호접속 전기적 경로와의 인터페이스에는 바람직하지 못하다. MOSFET 구조에서, 전극 및 상호접속 경로는 금속 실리사이드층을 제조하기 위해 베어(bare) 실리콘상에 내화성 금속을 증착하고 상기 층을 어닐링함으로써 형성된 실리사이드층을 포함한다. 기판과 금속 사이의 인터페이스에서 자연 실리콘 산화물막은 금속 실리사이드를 형성하는 확산 화학 반응을 방해함으로써 실리사이드층의 조성 균일성을 감소시킨다. 이는 낮은 기판 수율을 야기시키며 전기적 콘택에서의 과열로 인해 고장 비율을 증가시킨다. 또한, 자연 실리콘 산화물막은 기판상에 후속하여 증착되는 다른 CVD 또는 스퍼터링된 층의 접착을 방해할 수 있다.
큰 피쳐(feature) 또는 약 4:1 보다 작은 종횡비를 갖는 작은 피쳐에서 오염물 감소를 위해 스퍼터 에칭 프로세스가 시도되었다. 그러나 스퍼터 에칭 프로세스는 물리적 충돌로 인해 미세한(delicate) 실리콘을 손상시킬 수 있다. 이에, 예를 들어 불화수소(HF) 산 및 탈이온수를 이용하는 습식 에칭 프로세스가 시도되었다. 그러나 이와 같은 습식 에칭 프로세스는 종횡비(aspect ratio)가 4:1을 넘는 경우, 종횡비가 10:1을 넘는 경우 오늘날의 작은 소자에서는 문제시된다. 특히, 습식 용액은 상기 크기의 기판 표면 내에 형성되는 비아, 콘택 또는 다른 피쳐로는 침투할 수 없다. 결과적으로, 자연 산화물막 제거는 불완전하다. 유사하게, 상기 크기의 피쳐로의 침투가 성공되더라도, 일단 에칭이 완성되면 습식 에칭 용액은 피쳐로부터 제거하기 어렵다.
자연 산화물막 제거를 위한 또 다른 방법으로는 불소-함유 가스를 이용하는 건식 에칭 프로세스가 있다. 그러나 불소-함유 가스 사용이 갖는 문제점 중 하나는 전형적으로 불소가 기판 표면상에 남아있게 된다는 것이다. 기판 표면상에 남아있는 불소 원자 또는 불소 라디칼은 문제시될 수 있다. 예를 들어, 남겨진 불소 원자는 기판을 계속 에칭하여 기판에 보이드를 야기할 수 있다.
자연 산화물막을 제거하기 위한 가장 최근의 방법은 기판 표면상에 열적 어닐링에 의해 후속하여 제거되는 불소/실리콘-함유 염(salt)을 형성하는 것이다. 이러한 방법에서, 얇은 염층은 실리콘 산화물 표면과 불소-함유 가스를 반응시킴으로써 형성된다. 다음 처리 챔버로부터 제거되는 휘발성 부산물로 상기 염이 분해되도록 충분히 상승된 온도로 상기 염을 가열한다. 일반적으로 반응성 불소-함유 가스의 형성은 열 추가 또는 플라즈마 에너지에 의해 보조된다. 상기 염은 기판 표면의 냉각을 요구하는 감소된 온도에서 형성된다. 가열에 따른 이러한 후속하는 냉각은 기판이 냉각되는 냉각 챔버로부터 기판이 가열되는 개별 어닐링 챔버 또는 퍼니스로 기판을 전달함으로써 달성된다.
여러 가지 이유에서, 이러한 반응성 불소 처리 시퀀스는 바람직하지 않다. 즉, 웨이퍼 산출량은 웨이퍼 이송에 수반되는 시간으로 인해 크게 감소된다. 또한, 웨이퍼는 이송과정 동안 추가적인 산화 또는 다른 오염이 발생하기 쉽다. 게다가, 산화물 제거 프로세스를 완성하기 위해 두 개의 개별 챔버가 요구되기 때문에 총소유비용은 두 배가 된다.
따라서, 원격 플라즈마 발생, 가열 및 냉각이 이루어질 수 있고 단일 챔버내에서(즉, 인시튜) 단일의 건식 에칭 프로세스를 수행할 수 있는 처리 챔버가 요구된다.
기판 표면으로부터 자연 산화물을 제거하기 위한 처리 챔버가 또한 제공된다. 일 양상에서, 상기 챔버는 챔버 몸체부 및 챔버 몸체부내에 적어도 부분적으로 배치되고 그 위에서 기판을 지지하는 지지 어셈블리를 포함한다. 지지 어셈블리는 기판을 냉각시키기 위한 유체를 제공할 수 있게 지지 어셈블리 안에 적어도 부분적으로 형성된 하나 이상의 유체 채널을 포함한다. 상기 챔버는 챔버 몸체부의 상부 표면상에 배치된 리드 어셈블리를 더 포함한다. 리드 어셈블리는 제 1 전극과 제 2 전극을 포함하며, 상기 제 1 전극과 제 2 전극 사이에는 플라즈마 캐비티가 형성되며, 제 2 전극은 가열되어 연결되게(connectively) 기판을 가열하도록 구성된다.
또한, 기판 표면으로부터 자연 산화물을 에칭하는 방법이 제공된다. 일 특징에서, 상기 방법은 챔버 몸체부 및 상기 챔버 몸체부내에 적어도 부분적으로 배치되고 그 위에서 기판을 지지하는 지지 어셈블리를 포함하는 처리 챔버내에서 처리되는 기판을 장착하는 단계를 포함한다. 지지 어셈블리 내부에는 적어도 부분적으로 하나 이상의 냉각 채널이 형성되며, 상기 냉각 채널은 기판을 냉각시키기 위한 유체를 제공할 수 있다. 상기 챔버는 챔버 몸체부의 상부 표면상에 배치된 리드 어셈블리를 더 포함한다. 리드 어셈블리는 제 1 전극과 제 2 전극을 포함하며, 제 1 전극과 제 2 전극 사이에는 플라즈마 캐비티가 형성되며, 제 2 전극은 연결되게 기판을 가열하도록 구성된다.
상기 방법은, 플라즈마 캐비티내에 반응 가스의 플라즈마를 발생시키는 단계, 지지 어셈블리의 하나 이상의 채널을 통해 열전달 매체를 흘려보냄으로써 기판을 냉각시키는 단계, 제 2 전극을 통해 기판 표면으로 반응 가스를 흘려보내는 단계, 반응 가스로 기판 표면을 에칭하는 단계, 접촉으로 가열 소자에 파워를 인가함으로써 제 2 전극을 가열하는 단계, 및 가열된 전극 부근에 인접하게 지지 어셈블리를 위치시킴으로써 가열된 제 2 전극을 이용하여 기판을 가열하는 단계를 더 포함한다.
본 발명의 상기 특징은 본 발명의 상세한 설명, 요약서를 통해 이해될 수 있으며, 일부는 첨부된 도면에 도시된 실시예를 참조할 수도 있다. 그러나 첨부된 도면은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로 본 발명의 범주를 이탈하지 않고 다른 또는 등가의 효과적인 실시예가 허용될 수 있다는 것을 주목해야 한다.
도 1A는 가열, 냉각 및 에칭을 위한 처리 챔버(100)를 나타내는 부분 단면도.
도 1B는 도 1A의 처리 챔버내에 배치된 라이너(liner)를 나타내는 확대 개략도.
도 2A는 도 1A에 도시된 챔버 몸체부의 상단부에 배치될 수 있는 리드 어셈블리를 나타내는 확대 단면도.
도 2B 및 2C는 도 2A의 가스 분배판의 확대 개략도.
도 3A는 도 1A의 챔버 몸체부(112)내에 적어도 부분적으로 배치된 지지 어셈블리의 부분 단면도.
도 3B는 도 3A의 지지 부재(300)의 부분 확대 단면도.
도 4A는 또 다른 리드 어셈블리(400)의 개략적 단면도.
도 4B는 도 4A의 상부 전극의 개략적 부분 확대 단면도.
도 4C는 도 4A의 리드 어셈블리(400)를 이용하는 처리 챔버(100)를 나타내는 부분 단면도.
도 5A-5H는 MOSFET 구조와 같은 액티브 전자 소자를 형성하기 위한 제조 시퀀스의 개략도.
도 6은 복수의 처리 동작을 수행하는 예시적인 다중 챔버 처리 시스템의 개략도.
임의의 수의 기판 처리 기술에 대한 처리 챔버가 제공된다. 상기 챔버는 진공을 차단시키지 않고 기판 표면의 가열 및 냉각 모두를 요구하는 플라즈마 보조 건식 에칭 프로세스를 수행하는데 특히 유용하다. 예를 들어, 본 명세서에 개시된 처리 챔버는 기판 표면으로부터 산화물 및 다른 오염물을 제거하기 위해 FEOL(front-end-of line) 세정 챔버에 가장 적합하도록 구성된다.
본 명세서에서 사용되는 "기판 표면"은 그 위에서 처리과정이 수행되는 임의의 기판 표면으로 간주한다. 예를 들어, 기판 표면은 적용분야에 따라, 실리콘, 실리콘 산화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어 및, 금속, 금속 질화물, 금속 합금과 같은 임의의 다른 물질, 및 또 다른 전도성 물질을 포함할 수 있다. 또한, 기판 표면은 실리콘 이산화물, 유기실리케이트, 및 탄소가 도핑된 실리콘 산화물과 같은 유전체 물질을 포함할 수 있다. 기판 자체는 임의의 특정 크기 또는 형상으로 제한되지 않는다. 일 양상에서, "기판"이란 용어는 200mm 직경 또는 300mm 직경을 갖는 둥근 웨이퍼를 뜻한다. 또 다른 양상에서, "기판"이란 용어는 임의의 다각형, 정사각형, 직사각형, 곡선형 또는 예를 들어, 평판 디스플레이의 제조에 사용되는 유리 기판과 같은 비원형 가공품(workpiece)을 뜻한다.
도 1A는 예시적인 처리 챔버를 나타내는 부분 단면도이다. 일 실시예에서, 처리 챔버(100)는 챔버 몸체부(112), 리드 어셈블리(200), 및 지지 어셈블리(300)를 포함한다. 리드 어셈블리(200)는 챔버 몸체부(112)의 상단부에 배치되며, 지지 어셈블리(300)는 챔버 몸체부(112)내에 적어도 부분적으로 배치된다. 처리 챔버(100) 및 관련 하드웨어는 예를 들어, 알루미늄, 양극처리된 알루미늄, 니켈 도금 알루미늄, 니켈 도금 알루미늄 6061-T6, 스테인리스 스틸, 및 이들의 조합 및 합금과 같은 하나 이상의 처리-호환성 물질로 바람직하게 형성된다.
챔버 몸체부(112)는 처리 챔버(100) 내부로의 액서스를 제공하기 위해 처림 챔버(100)의 측벽에 형성된 슬릿 밸브 개구부(160)를 포함한다. 슬릿 밸브 개구부(160)는 웨이퍼 처리 로봇(미도시)에 의해 챔버 몸체부(112)의 내부로의 액서스가 허용되도록 선택적으로 개폐된다. 웨이퍼 처리 로봇은 당업자에게 공지되어 있으며, 임의의 적절한 로봇이 사용될 수 있다. 예를 들어, 예시적인 로봇방식 이송 어셈블리는 본 명세서에서 참조되며, 1990년 8월 28일자로 "Multi-chamber Integrated Process System"이란 명칭으로 등록된 공동 양도된 US 특허 제4,951,601호에 개시되어 있다. 일 실시예에서, 웨이퍼는 슬릿 밸브 개구부(160)를 통해 인접한 전송 챔버 및/또는 로드 록 챔버, 또는 클러스터 툴 내의 또다른 챔버를 향해 처리 챔버(100) 안팎으로 전달될 수 있다. 처리 챔버(100)에 결합될 수 있는 형태의 클러스터 툴은 본 명세서에서 참조되며, 1993년 2월 16일자로, "Staged-Vacuum Wafer Processing System and Method"란 명칭으로 간행된 공동 양도된 US 특허 제5,186,718호에 개시되어 있다.
하나 이상의 실시예에서, 챔버 몸체부(112) 내부에는 열 전달 유체를 흘려보내기 위한 채널(113)이 형성된다. 열 전달 유체는 가열 유체 또는 냉각제일 수 있으며 처리과정 및 기판 이송과정 동안 챔버 몸체부(112)의 온도를 제어하는데 이용된다. 챔버 몸체부(112)의 온도는 챔버 벽상에 가스 또는 부산물의 원치않는 응축(condensation)을 방지하는 데 있어 중요하다. 예시적인 열 전달 유체는 물, 에틸렌 글리콜, 또는 이들의 혼합물을 포함한다. 또한, 예시적인 열 전달 유체는 질소 가스를 포함할 수 있다.
챔버 몸체부(112)는 지지 어셈블리(300)를 둘러싸는 라이너(133)를 더 포함할 수 있다. 라이너(133)는 바람직하게 서비스 및 세정을 위해 제거될 수 있다. 라이너(133)는 알루미늄과 같은 금속, 또는 세라믹 물질로 구성될 수 있다. 그러나 라이너(133)는 프로세스에 호환성이 있는 임의의 물질일 수 있다. 라이너(133)는 상부에 증착되는 임의의 물질의 접착력을 강화시켜, 처리 챔버(100)의 오염을 야기시키는 물질의 벗겨짐(flaking)을 방지하도록 비즈 블라스터처리될 수 있다(bead blasted). 하나 이상의 실시예에서, 라이너(133)는 개구(135)와 진공 시스템과 유체 소통(fluid communication)되도록 라이너 안에 형성된 펌핑 채널(129)을 포함한다. 개구(135)는 펌핑 채널(129) 속에 가스 흐름 통로를 제공하여, 처리 챔버(100)내에 가스들의 출구를 제공한다.
진공 시스템은 처리 챔버(100)를 지나는 가스들의 흐름을 조절하기 위해 진공 펌프(125)와 스로틀 밸브(127)를 포함할 수 있다. 진공 펌프(125)는 챔버 몸체부(112)상에 배치된 진공 포트(131)에 결합되어 라이너(133) 내에 형성된 펌핑 채널(129)과 유체 소통된다. "가스" 및 "가스들"이란 용어는 상호교환적으로 사용되며, 그렇지 않은 경우는, 하나 이상의 전구체, 반응물, 촉매제, 캐리어, 정화제(purge), 세척물(cleaning), 이들의 조합 및 챔버 몸체부(112)속으로 주입되는 임의의 다른 유체를 뜻한다.
보다 상세하게 라이너(133)에 대해서는 도 1B에 라이너(133)의 일 실시예의 확대 개략도로 나타낸다. 본 실시예에서, 라이너(133)는 상부(133A) 및 하부(133B)를 포함한다. 챔버 몸체부(112)의 측벽상에 배치된 슬릿 밸브 개구부(160)와 정렬된 개구부(133C)는 챔버 몸체부(112) 내외로의 기판의 진입 및 배출을 허용하도록 라이너(133)내에 형성된다. 전형적으로, 펌핑 채널(129)은 상부(133A)내에 형성된다. 또한 상부(133A)는 펌핑 채널(129)속으로 가스들의 통로 또는 흐름 경로를 제공하도록, 상부(133A)를 관통하여 형성된 하나 이상의 개구(135)를 포함한다.
도 1A 및 1B를 참조로, 개구(135)는 펌핑 채널(129)이 챔버 몸체부(112)내의 처리 존(zone)(140)과 유체 소통되게 한다. 처리 존(140)은 리드 어셈블리(200)의 하부 표면 및 지지 어셈블리(300)의 상부 표면에 의해 규정되며, 라이너(133)에 의해 둘러싸인다. 개구(135)는 균일한 크기일 수 있고 라이너(133) 부근에서 고르게 이격될 수 있다. 그러나 임의의 개구 수, 위치, 크기 또는 형상이 이용될 수 있으며, 이들 설계 파라미터 각각은 하기에보다 상세히 개시되는 바와 같이 기판 수용 표면에 걸쳐 원하는 가스 흐름 패턴에 따라 변할 수 있다. 또한, 개구(135)의 크기, 수 및 위치는 처리 챔버(100)에서 배출되는 가스들의 균일한 흐름을 달성하도록 구성된다. 또한, 개구 크기 및 위치는 챔버(100)로부터 가스의 신속한 배출이 용이하도록 빠른 펌핑 또는 높은 능력 펌핑을 제공하도록 구성될 수 있다. 예를 들어, 상기 수 및 진공 포트(131) 부근에 인접한 개구(135)의 크기는 진공 포트(131)로부터 보다 멀리 위치된 개구(135)의 크기보다 작을 수 있다.
도 1A 및 1B를 참조로, 라이너(133)의 하부(133B)는 그 안에 배치된 흐름 경로 또는 진공 채널(129A)을 포함한다. 진공 채널(129A)은 앞서 개시된 진공 시스템과 유체 소통된다. 또한, 진공 채널(129A)은 라이너(133)의 외부 직경에 형성된 리세스 또는 포트(129B)를 통해 펌핑 채널(129)과 유체 소통된다. 일반적으로, 두 개의 가스 포트(129B)(도면에는 단지 한 개만 도시됨)는 상부(133A)와 하부(133B) 사이에서 라이너(133)의 외부 직경에 형성된다. 가스 포트(129B)는 펌핑 채널(129)과 진공 채널(129A) 사이에 흐름 경로를 제공한다. 각각의 포트(129B)의 크기 및 위치는 설계 문제이며, 원하는 막의 화학량론, 형성되는 소자의 기하학적 구조, 처리 챔버(100)의 용적 능력 및 그와 결합되는 진공 시스템의 능력에 의해 결정된다. 전형적으로, 포트(129B)는 서로 마주하게 배열되거나 또는 라이너(133)의 외부 직경 부근에서 180도 떨어지게 배열된다.
동작시, 하나 이상의 가스들을 배출하는 처리 챔버(100)는 라이너(133)의 상부(133A)를 통해 펌핑 채널(129) 속에 형성된 개구(135)를 통해 흐른다. 다음 가스는 펌핑 채널(129) 내에서 포트(129B)를 통해 진공 채널(129A) 속으로 흐른다. 가스는 진공 펌프(125) 속으로 진공 포트(131)를 통해 진공 채널(129A)에서 배출된다.
더욱 상세하게 리드 어셈블리(200)에 대해서는 도 1A에 도시된 챔버 몸체부(112)의 상단부에 배치될 수 있는 예시적인 리드 어셈블리(200)의 확대 단면을 나타내는 도 2A에 도시된다. 도 1A 및 도 2A를 참조로, 리드 어셈블리(200)는 도 1A에 도시된 것처럼, 서로의 상부에 적층되는 복수의 부품을 포함한다. 하나 이상의 실시예에서, 리드 어셈블리(200)는 리드 림(210), 가스 운반 어셈블리(220), 및 상부 플레이트(250)를 포함한다. 가스 운반 어셈블리(220)는 리드 림(210)의 상부 표면과 결합되며 리드 림(210)과 최소의 열 접촉이 이루어지도록 배열된다. 리드 어셈블리(200)의 부품은 바람직하게 예를 들어 고도로 마무리처리된 알루미늄 합금과 같이, 높은 열 전도성 및 낮은 열 저항을 갖는 물질로 구성된다. 바람직하게, 부품의 열 저항은 약 5×10-4m2 K/W 미만이다. 리드 림(210)은 리드 어셈블리(200)를 구성하는 부품의 중량을 유지하도록 설계되며 예를 들어 지지 어셈블리(300)와 같은, 내부 챔버 부품으로의 액서스를 제공하기 위해 힌지 어셈블리(미도시)를 통해 챔버 몸체부(112)의 상부 표면에 결합된다.
도 2B 및 2C를 참조로, 가스 전달 어셈블리(220)는 분배판 또는 샤워헤드(225)를 포함할 수 있다. 도 2B는 예시적인 가스 분배판(225)을 나타내는 일실시예의 개략적 확대도를 나타내며 도 2C는 단면도를 나타낸다. 하나 이상의 실시예에서, 분배판(225)은 실질적으로 디스크형이며 분배판(225)을 관통하여 가스 흐름을 분배하기 위해 복수의 개구(225A) 또는 통로를 포함한다. 분배판(225)의 개구(225A)는 가스 흐름의 속도 프로파일을 늦추고 방향설정을 다시 함으로써 리드 어셈블리(200)를 흐르는 가스들이 하부 기판 표면상에 직접 충돌하는 것을 방지한다. 또한, 분배판(225)의 개구(225A)는 리드 어셈블리(200)에서 배출되는 가스의 흐름을 고르게 분배하여, 기판 표면에 걸쳐서 가스의 균일한 분배를 제공한다.
도 2A, 2B 및 2C를 참조로, 분배판(225)은 리드 림(210)상에 위치하는 크기로, 분배판(225)의 주변부에 형성된 환형 장착 플랜지(222)를 더 포함한다. 따라서, 분배판(225)은 리드 어셈블리(200)와의 최소 열 접촉을 이룬다. 바람직하게, 엘라스토머 O-링과 같은, O-링형 밀봉부(224)가 리드 림(210)과의 기밀한 접촉(fluid-tight contact)을 보장하기 위해 환형 장착 플랜지(222) 내에 적어도 부분적으로 배치된다.
가스 운반 어셈블리(220)는 분배판(225)에 인접하게 배치된 차단 어셈블리(230)를 더 포함할 수 있다. 차단 어셈블리(230)는 분배판(225)의 후면에 고른 가스 분배를 제공한다. 바람직하게, 차단 어셈블리(230)는 알루미늄 합금으로 이루어지며 양호한 열 접촉을 보장하기 위해 분배판(225)에 제거가능하게 결합된다. 예를 들어, 차단 어셈블리(230)는 볼트(221) 또는 유사한 파스너를 사용하여 분배판(225)에 결합될 수 있다. 바람직하게, 차단 어셈블리(230)는 도 2A에 도시된 리드 림(210)과 열 접촉되지 않는다.
하나 이상의 실시예에서, 차단 어셈블리(230)는 제 2 차단판(235)에 장착된 제 1 차단판(233)을 포함한다. 제 2 차단판(235)은 제 2 차단판(235)을 관통하여 형성된 통로(259)를 포함한다. 바람직하게, 통로(259)는 제 2 차단판(235)을 관통하여 중심적으로 위치되어, 통로(259)는 상부 플레이트(250)의 하부 표면과 제 2 차단판(235)의 상부 표면에 의해 형성된 제 1 캐비티 또는 용적(261)과 유체 소통된다. 또한 통로(259)는 제 2 차단판(235)의 하부 표면과 제 1 차단판(233)의 상부 표면에 의해 형성된 제 2 캐비티 또는 용적(262)과 유체 소통된다. 또한, 통로(259)는 제 1 차단판(233)의 하부 표면과 분배판(225)의 상부 표면에 의해 형성된 제 3 캐비티 또는 용적(263)과 유체 소통된다. 통로(259)는 가스 인입구(223)와 결합된다. 가스 인입구(223)는 그의 제 1 단부에서 상부 플레이트(250)에 결합된다. 도시되지는 않았지만, 가스 인입구(223)는 그의 제 2 단부에서 하나 이상의 상류 가스 소스 및/또는 가스 혼합기와 같은 다른 가스 운반 부품에 결합된다.
제 1 차단판(233) 내부에는 통로(259)로부터 가스 분배판(225)으로 흐르는 가스들을 분산시키도록 형성된 복수의 통로(233A)를 포함한다. 통로(233A)는 원형 또는 둥근형인 것으로 도시되었지만, 통로(233A)는 사각형, 직사각형, 또는 임의의 다른 형상일 수 있다. 통로(233A)는 기판 표면에 걸쳐서 제어되고 균일한 가스 흐름 분포를 제공하기 위해 차단판(233) 부근에 위치되고 크기설정될 수 있다. 상기 설명된 것처럼, 제 1 차단판(233)은 제 2 차단판(235)으로부터 그리고 분배판(225)으로부터 제거가 용이하여 이들 부품의 세척 또는 교체가 용이하다.
사용시, 하나 이상의 처리 가스들이 가스 인입구(223)를 통해 가스 운반 어셈블리(220)로 주입된다. 처리 가스는 제 1 용적(261)으로 흐르며 제 2 차단판(235)의 통로(259)를 통해 제 2 용적(262)으로 흐른다. 다음 처리 가스는 제 1 차단판(233)의 홀(233A)을 통해 제 3 용적(263)으로 분포되며 또한 가스가 챔버 몸체부(112)내에 배치된 기판의 노출된 표면과 만날 때까지 차단판(225)의 홀(225A)을 통해 추가로 분포된다.
통상적으로 가스 공급 패널(미도시)은 처리 챔버(100)에 하나 이상의 가스들을 제공하는데 이용된다. 사용되는 특정 가스 또는 가스들은 챔버(100)내에서 수행되는 프로세스 또는 프로세스들에 의존한다. 비제한적인 예시적인 가스들은, 하나 이상의 전구체, 환원제, 촉매제, 캐리어, 퍼지(purge), 세정제(cleaning) 또는 이들의 임의의 혼합물 또는 조합물을 포함할 수 있다. 전형적으로, 처리 챔버(100)에 주입되는 하나 이상의 가스들은 인입구(223)를 통해 리드 어셈블리(200)속으로 흐르며 다음 가스 운반 어셈블리(220)를 통해 챔버 몸체부(112)로 흐른다. 전기적으로 동작되는 밸브 및/또는 흐름 제어 메커니즘(미도시)이 가스 공급부로부터 처리 챔버(100)로의 가스 흐름을 제어하기 위해 사용될 수 있다. 프로세스에 따라, 임의의 수의 가스들이 처리 챔버(100)에 전달될 수 있으며, 처리 챔버(100)에서 또는 가스들이 처리 챔버(100)에 전달되기 전에, 예를 들어 가스 혼합기(미도시)내에서 혼합될 수 있다.
다시 도 1A 및 도2A를 참조로, 또한 리드 어셈블리(200)는 리드 어셈블리(200)내에 반응성 종의 플라즈마를 발생시키기 위해 전극(240)에 추가로 포함될 수 있다. 일 실시예에서, 전극(240)은 상부 플레이트(250)상에서 지지되며 상부 플레이트(250)와 전기적으로 절연된다. 예를 들어, 절연 필러(filer) 링(241)은 도 2A에 도시된 것처첨 상부 플레이트(250)로부터 전극(240)과 분리되는 전극(240)의 하부 부근에 배치될 수 있다. 또한, 환형 절연체(242)는 절연체 필러 링(241)의 외부 표면 부근에 배치될 수 있다. 다음 환형 절연체(243)는 전극(240)의 상부 부근에 배치되어 전극(240)은 상부 플레이트(250) 및 리드 어셈블리(200)의 다른 모든 부품으로부터 전기적으로 절연된다. 이들 링(241, 242, 243) 각각은 알루미늄 산화물 또는 프로세스에 호환성있는 물질의 다른 절연체로 구성될 수 있다.
하나 이상의 실시예에서, 전극(240)은 전원(미도시)에 결합되는 반면 가스 운반 어셈블리(220)는 접지에 연결된다(즉, 가스 운반 어셈블리(220)는 전극으로서의 역할을 한다). 따라서, 하나 이상의 플라즈마가 전극(204)(제 1 전극)과 가스 운반 어셈블리(220)(제 2 전극) 사이에 용적(261, 262 및/또는 263)에 발생될 수 있다. 예를 들어, 플라즈마는 전극(240)과 차단 어셈블리(230) 사이에서 충돌되고 포함될 수 있다. 선택적으로, 플라즈마는 차단 어셈블리(230) 없이 전극(240)과 분배판(225) 사이에서 충돌되고 포함될 수 있다. 어느 실시예에서든지, 플라즈마는 리드 어셈블리(200) 내에 바람직하게 한정되거나 포함될 수 있다. 따라서, 플라즈마는 활성 플라즈마가 챔버 몸체부(112)내에 배치된 기판과 직접 접촉하기 않기 때문에 "원격 플라즈마"이다. 결과적으로, 플라즈마가 기판을 손상시키는 것이 방지되며, 이는 플라즈마가 기판 표면으로부터 충분히 이격되어 있기 때문이다.
가스들을 반응성 종으로 활성화시키고 반응성 종의 플라즈마를 유지할 수 있는 임의의 전원이 사용될 수 있다. 예를 들어, 무선 주파수(RF), 직류(DC), 또는 마이크로파(MW) 기초 파워 방전 기술이 사용될 수 있다. 또한, 활성화(activation)는 열적 기초 기술, 가스 브레이크다운 기술, 고밀도 광원(예를 들어 UV 에너지), 또는 X-레이 소스 노출에 의해 발생될 수 있다. 대안적으로, 챔버(100)로 운반되는 반응성 종의 플라즈마를 발생시키기 위해, 원격 플라즈마 발생기와 같은 원격 활성화 소스가 사용될 수 있다. 예시적인 원격 플라즈마 발생기로는 MKS Instruments, Inc. 및 Advanced Energy Industries, Inc. 와 같은 업체로부터 입수가능하다. 바람직하게, RF 파워는 전극(240)에 결합된다.
도 2A를 참조로, 가스 운반 시스템(220)은 처리 가스와 처리 챔버(100)내에서 수행되는 동작에 따라 가열될 수 있다. 일 실시예에서, 예를 들어 저항성 히터와 같은 가열 소자(270)가 분배판(225)에 결합될 수 있다. 일 실시예에서, 가열 소자(270)는 튜브형 부재로 도 2B 및 2C에 보다 상세히 도시된 것처럼 분배판(225)의 상부 표면으로 압착될 수 있다.
도 2B 및 2C를 참조로, 분배판(225)의 상부 표면은 가열 소자(270)의 외부 직경보다 약간 작은 폭을 갖는 그루브(groove) 또는 리세스 채널을 포함하여, 인터피어런스 피트(interference fit)를 사용하여 그루브내에 가열 소자(270)가 고정될 수 있다. 분배판(225)과 차단 어셈블리(230)를 포함하는 운반 어셈블리(220)의 부품은 각각 서로 전도성 결합되기 때문에 가열 소자(270)는 가스 운반 어셈블리(220)의 온도를 조절한다. 온도 조절은 분배판(225)에 결합된 열전쌍(272)에 의해 용이해질 수 있다. 열전쌍(272)은 전원으로부터 가열 소자(270)에 인가된 전류를 제어하기 위한 피드백 루프에서 사용될 수 있어서, 가스 운반 어셈블리(220) 온도는 원하는 온도에서 또는 원하는 온도 범위 내에서 유지 또는 제어될 수 있다. 가스 운반 어셈블리(220) 온도의 제어는, 상기 설명된 바와 같이, 가스 운반 어셈블리(220)가 리드 어셈블리(200)의 다른 부품들과의 열 접촉이 최소화되고, 열 전도성이 제한되기 때문에 용이해진다.
하나 이상의 실시예에서, 리드 어셈블리(200)는 가스 운반 어셈블리(220)의 온도 제어를 제공하기 위해 열전달 매체가 유동될 수 있도록 리드 어셈블리(200)의 내부에 형성된 하나 이상의 유체 채널(202)을 포함할 수 있다. 일 실시예에서, 유체 채널(202)은 도 2A에 도시된 것처럼 리드 림(210)내에 형성될 수 있다. 대안적으로, 유체 채널(202)은 가스 운반 어셈블리(220)에 균일한 열 전달을 제공하기 위해 리드 어셈블리(200)의 임의의 부품 내에 형성될 수 있다. 유체 채널(202)은 챔버(100)내에서의 프로세스 조건에 따라, 가스 전달 어셈블리(220)의 온도를 제어하기 위해 가열 또는 냉각 매체 중 하나를 포함할 수 있다. 예를 들어 질소, 물, 에틸렌 글리콜, 또는 이들의 혼합물이 임의의 열전달 매체로 사용될 수 있다.
하나 이상의 실시예에서, 가스 운반 어셈블리(220)는 하나 이상의 가열 램프(미도시)를 사용하여 가열될 수 있다. 전형적으로, 가열 램프는 방사에 의해 분배판(225)을 가열하기 위해 분배판(225)의 상부 표면 부근에 배열될 수 있다.
도 3A는 예시적인 지지 어셈블리(300)의 부분 단면도를 나타낸다. 지지 어셈블리(300)는 챔버 몸체부(112)내에 적어도 부분적으로 배치될 수 있다. 지지 어셈블리(300)는 챔버 몸체부(112)내에서의 처리를 위해 기판(미도시)을 지지하기 위해 지지 부재(310)를 포함할 수 있다. 지지 부재(310)는 챔버 몸체부(112)의 하부 표면에 형성된 중심-위치된 개구부(114)를 통해 연장되는 샤프트(314)를 통해 리프트 메커니즘(330)에 연결될 수 있다. 리프트 메커니즘(330)은 샤프트(314) 부근에서 진공 누설을 방지하는 벨로즈(333)에 의해 챔버 몸체부(112)에 탄력적으로 시일처리된다. 리프트 메커니즘(330)은 지지 부재(310)가 처리 위치 및 하부 이송 위치 사이에서 챔버 몸체부(112)내에서 수직적으로 이동되게 한다. 이송 위치는 챔버 몸체부(112)의 측벽에 형성된 슬릿 밸브(160)의 개구부의 약간 아래에 있다.
도 3B는 도 3A에 도시된 지지 어셈블리(300)의 확대 부분 단면도이다. 하나 이상의 실시예에서, 지지 부재(310)는 그위에서 처리되는 기판을 지지하도록 평탄한, 원형 표면 또는 실질적으로 평탄한 원형 표면을 갖는다. 지지 부재(310)는 바람직하게 알루미늄으로 구성된다. 지지 부재(310)는 기판 후면의 오염을 감소시키기 위해, 예를 들어, 실리콘 또는 세라믹 물질과 같이 소정의 다른 물질로 이루어진 제거가능한 상부 플레이트(311)를 포함할 수 있다.
하나 이상의 실시예에서, 지지 부재(310) 또는 상부 플레이트(311)는 그의 상부 표면상에 배열되는 복수의 연장부 또는 딤플(311A)을 포함할 수 있다. 도 3B에서, 딤플(311A)은 상부 플레이트(311)의 상부 표면상에 도시된다. 상부 플레이트(311)가 요구되지 않는다면, 딤플(311A)은 지지 부재(310)의 상부 표면상에 배열될 수 있다. 딤플(311A)은 지지 어셈블리(300)의 지지 표면(즉, 지지 부재(310) 또는 상부 플레이트(311))과 기판의 하부 표면 사이에 최소 접촉을 제공한다.
하나 이상의 실시예에서, 기판(미도시)은 진공 척을 사용하여 지지 어셈블리(300)에 고정될 수 있다. 상부 플레이트(311)는 지지 부재(310)에 형성된 하나 이상의 그루브(316)와 유체 소통되는 복수의 홀을 포함할 수 있다. 그루브(316)는 샤프트(314)와 지지 부재(310) 내에 배치된 진공 도관(313)을 통해 진공 펌프(미도시)와 유체 소통된다. 소정 조건하에서, 진공 도관(313)은 기판이 지지 부재(310)상에 배치되지 않을 경우 증착을 방지하기 위해 지지 부재(310)의 표면에 퍼지 가스(purge gas)를 공급하는데 사용될 수 있다. 또한, 진공 도관(313)은 기판 후면과 반응 가스 또는 부산물이 접촉하는 것을 방지하기 위해 처리과정 동안 퍼지 가스를 통과시킬 수 있다. 하나 이상의 유체 도관들 중 적어도 하나는 상기 지지 부재의 측벽 상에 증착을 방지하기 위해 상기 지지 부재의 상부 표면에 형성된 하나 이상의 유체 채널들에 퍼지 가스를 제공할 수 있다.
하나 이상의 실시예에서, 기판(미도시)은 정전 척을 사용하여 지지 부재(310)에 고정될 수 있다. 하나 이상의 실시예에서, 기판은 종래의 클램프 링과 같은 기계적 클램프(미도시)에 의해 지지 부재(310)상의 위치에서 고정될 수 있다.
바람직하게, 기판은 정전 척을 사용하여 고정된다. 전형적으로 정전 척은 전극(미도시)을 둘러싸는 적어도 유전체 물질을 포함하며, 지지 부재(310)의 상부 표면에 위치되거나 또는 지지 부재(310)와 일체식 부품으로 형성될 수 있다. 척의 유전체 부분은 기판과 지지 어셈블리(300)의 나머지 부분으로부터 척 전극을 절연시킨다.
하나 이상의 실시예에서, 척 유전체의 주변부는 기판의 직경보다 약간 작다. 다른 말로, 기판은 척 유전체의 주변부에 약간 걸쳐져 있어(overhang), 기판이 척 상에 위치되는 경우 중심부로부터 오정렬되더라도 기판에 의해 척 유전체가 완전히 커버 될 수 있다. 기판이 척 유전체를 완전히 커버하는 것을 확실하게 하는 것은 기판이 챔버 몸체부(112)내의 잠잭적인 부식성 또는 손상 물질의 노출에 대해 척을 차폐하는 것을 보장한다.
정전 척을 동작시키기 위한 전압은 개별 "척" 전원(미도시)에 의해 공급될 수 있다. 척 전원의 하나의 출력 단자는 척 전극에 연결된다. 또다른 외부 단자는 전형적으로 접지에 연결되나, 대안적으로 지지 어셈블리(300)의 금속 몸체부에 연결될 수도 있다. 동작시, 기판은 유전체부와 접촉되게 위치되며 직류 전압은 지지 부재(310)의 상부 표면에 기판을 부착시키기 위해 정전 흡인력 또는 바이어스를 발생시키기 위해 전극 상에 제공된다.
도 3A 및 3B를 참조로, 지지 부재(310)는 리프트 핀(325)을 수용하도록 지지부재(310)를 관통하여 형성된 하나 이상의 구멍(bore)(323)을 포함할 수 있다. 전형적으로 각각의 리프트 핀(325)은 세라믹 또는 세라믹을 함유한 물질로 구성되며, 기판 처리 및 전달을 위해 사용된다. 각각의 리프트 핀(325)은 구멍(323) 내에 슬라이드 가능하게(slideably) 장착된다. 일 양상에서, 구멍(323)은 리프트 핀(325)이 자유롭게 슬라이딩 되는 것을 보조하기 위해 세라믹 슬리브(sleeve)로 라이닝(line)된다. 리프트 핀(325)은 챔버 몸체부(112)내에 배치된 환형 리프트 링(320)과 맞물림으로써 각각의 구멍(323) 내에서 이동할 수 있다. 리프트 링(320)은, 리프트 링(320)이 상부 위치에 있는 경우, 리프트-핀(325)의 상부 표면이 지지 부재(310)의 기판 지지 표면상에 위치될 수 있도록 이동할 수 있다. 반대로, 리프트 링(320)이 하부 위치에 있는 경우, 리프트-핀(325)의 상부 표면은 지지 부재(310)의 기판 지지 표면 아래에 위치된다. 따라서, 각각의 리프트-핀(325)의 일부는 리프트 링(320)이 하부 위치로부터 상부 위치로 이동하는 경우, 지지 부재(310)내의 각각의 구멍(323)을 통과할 수 있다.
활성화될 때, 리프트 핀(325)은 기판의 하부 표면을 밀어 지지 부재(310)로부터 기판을 들어올린다. 반대로, 리프트-핀(325)이 기판을 하강시키도록 비활성화되어, 지지 부재(310)상에 기판을 안착시킨다. 리프트 핀(325)은 핀(325)이 지지 부재(310)로부터 떨어지는 것을 방지하기 위해 확대된 상단부 또는 원뿔형 헤드를 포함할 수 있다. 다른 핀 설계 또한 이용될 수 있으며 이는 당업자가 잘 알 것이다.
일 실시예에서, 하나 이상의 리프트 핀(325) 상부에는 기판이 그 위에서 지지되는 경우 기판이 슬라이드 되는 것을 방지하기 위해 미끄러지지 않는 또는 마찰력이 높은 물질로 구성된, 리프트 핀(325) 상에 배치된 코팅 또는 부착물을 포함한다. 바람직한 물질로는, 처리 챔버(100)내에서 오염물을 형성할 수 있는 기판 후면을 긁거나 손상시키지 않는 고온 중합체 물질이 있다. 바람직하게, 코팅 또는 부착물은 Dupont으로부터 입수가능한 KALREZTM 코팅이 있다.
리프트 링(320)을 구동시키기 위해, 종래의 공기식 실린더 또는 스텝퍼 모터(미도시)와 같은 액추에이터가 일반적으로 사용된다. 스텝퍼 모터 또는 실린더는 위아래 위치로 리프트 링(320)을 구동시켜, 그 다음, 기판을 상승 또는 하강시키는 리프트-핀(325)을 구동시킨다. 특정 실시예에서, 기판(미도시)은 리프트 링(320)으로부터 약 120도 떨어져 분포되고 돌출되는 3개의 리프트 핀(325)(미도시)에 의해 지지 부재(310) 상에서 지지된다.
다시 도 3A를 참조로, 지지 어셈블리(300)는 지지 부재(310) 부근에 배치된 에지 링(305)을 포함할 수 있다. 에지 링(305)은 특히 세라믹, 석영, 알루미늄 및 강철과 같은 다양한 물질로 구성될 수 있다. 하나 이상의 실시예에서, 에지 링(305)은 지지 부재(310)의 외부 주변부를 커버하고 증착으로부터 지지 부재(310)를 보호하는 환형 부재이다. 에지 링(305)은 지지 부재(310)상에 또는 그 부근에 위치되어, 지지 부재(310)의 외부 직경과 에지 링(305)의 내부 직경 사이에 환형 퍼지 가스 채널(334)을 형성할 수 있다. 환형 퍼지 가스 채널(334)은 지지 부재(310)와 샤프트(314)를 통해 형성된 퍼지 가스 도관(335)과 유체 소통된다. 바람직하게, 퍼지 가스 도관(335)은 퍼지 가스 채널(334)에 퍼지 가스를 제공하기 위해 퍼지 가스 공급부(미도시)와 유체 소통된다. 질소, 아르곤 또는 헬륨과 같은 임의의 적절한 퍼지 가스가 단독으로 또는 조합되어 사용될 수 있다. 동작시, 퍼지 가스는 도관(335)을 통해 퍼지 가스 채널(334) 및, 지지 부재(310)상에 배치된 기판 에지 부근으로 흐른다. 따라서, 에지 링(305)과 함께 동작하는 퍼지 가스는 기판 에지 및/또는 후면에서의 증착을 방지한다.
도 3A와 3B를 다시 참조하면, 지지 어셈블리(300)의 온도가 지지 부재(310)의 바디에 내장된 유체 채널(360)을 통해 순화하는 유체에 의해 제어된다. 여러 실시예에서, 유체 채널(360)은 지지 어셈블리(300)의 축(314)을 통해 배치된 열 전달 도관(361)과 유체 소통된다. 바람직하게, 유체 채널(360)은 지지 부재(310)의 기판 수용 표면에 균일한 열을 전달하도록 지지 부재(310) 주위에 위치한다. 유체 채널(360)과 열 전달 도관(361)은 지지 부재(310)를 가열 또는 냉각시키도록 열 전달 유체를 흐르게 할 수 있다. 물, 질소, 에틸렌 글리콜, 또는 이들의 혼합물과 같은 임의의 적절한 열 전달 유체가 사용될 수 있다. 지지 어셈블리(300)는 지지 부재(310)의 지지 표면의 온도를 모니터링하기 위한 내장된 써모커플(도시안됨)을 더 포함할 수 있다. 예컨대, 써모커플로부터의 신호는 유체 채널(360)을 통해 순환하는 유체의 온도 또는 흐름속도를 제어하기 위한 피드백 루프에 사용될 수 있다.
도 3A를 다시 참조하면, 지지 부재(310)는 지지 부재(310)와 리드 어셈블리(200) 사이의 거리가 조절될 수 있도록 챔버 몸체부(112) 내에서 수직으로 이동할 수 있다. 센서(도시안됨)는 챔버(100) 내의 지지 부재(310)의 위치와 관련한 정보를 제공할 수 있다. 지지 부재(310)의 리프팅 메커니즘의 예가 "자체-정렬 리프트 메커니즘"이란 제목으로 셀리우틴(Selyutin) 등에게 1999년 9월 14일에 부여된 미국 특허 5,951,776호에 상세히 개시되어 있으며, 상기 특허는 본 명세서에서 그 전체가 참조로 포함된다.
동작시, 지지 부재(310)는 처리중인 기판의 온도를 제어하기 위해 리드 어셈블리(200)에 매우 근접한 곳까지 상승할 수 있다. 이와 같이, 기판은 가열 소자(270)에 의해 제어된 분배 플레이트(225)로부터 방출된 복사를 통해 가열될 수 있다. 대안적으로, 기판은 리프트 링(320)에 의해 동작하는 리프트 핀(325)들을 이용하여 가열된 리드 어셈블리(200)의 매우 근접한 곳까지 지지 부재(310)를 리프트 오프시킬 수 있다.
연장된 사용 주기 후에 또는 계획된 유지동안 지정된 시간에, 상기 설명한 부품들을 포함하는 처리 챔버(100)의 소정의 부품들은 정기적으로 검사, 교체 또는 세척될 수 있다. 통상적으로 이러한 부품들은 집합적으로 "처리 키트"로 알려진 부분들이다. 처리 키트의 예시적인 부품들은 예컨대 샤워 헤드(225), 상부 플레이트(311), 에지 링(305), 라이너(133), 및 리프트 핀(325)들을 포함하지만, 반드시 이들에 제한되는 것은 아니다. 하나 이상의 이들 부품들은 통상적으로 챔버(100)로부터 제거되고 정기적으로 또는 필요에 따라(as-needed basis) 세척 또는 교체된다.
도 4A는 예시적인 또 다른 리드 어셈블리(400)의 부분 단면도를 도시한다. 리드 어셈블리(400)는 적어도 두 개의 적층된 부품들을 포함하며 이들 사이에서 플라즈마 용적 또는 캐비티를 형성한다. 하나 이상의 실시예에서, 리드 어셈블리(400)는 수직으로 제 2 전극(450)("하부 전극") 위에 위치한 제 1 전극(410)("상부 전극")을 포함하며 이들 사이에서 플라즈마 용적(volume) 또는 캐비티(425)를 형성한다. 제 1 전극(410)은 RF 파워 공급원과 같은 전력원(415)에 연결되어 있고, 제 2 전극(450)은 접지에 연결되어, 두 개의 전극(410,450) 사이에서 커패시턴스를 형성한다.
하나 이상의 실시예에서, 리드 어셈블리(400)는 제 1 전극(410)의 상부 부분(413) 내에서 적어도 부분적으로 형성된 하나 이상의 가스 주입구(412)(inlet)들(하나만 도시됨)을 포함한다. 하나 이상의 처리 가스들이 하나 이상의 가스 주입구(412)들을 통해 리드 어셈블리(400)에 진입한다. 하나 이상의 가스 주입구(412)들은 가스 주입구(412)의 제 1 단부에서 플라즈마 캐비티(425)와 유체 소통되고 제 2 단부에서 하나 이상의 상류 가스원들 및/또는 가스 믹서와 같은 다른 가스 전달 부품들에 결합된다. 하나 이상의 가스 주입구(412)의 제 1 단부는 도 4A에 도시된 것처럼 확장 부분(expanding section)의 내부 직경(430)의 최상부 위치에서 플라즈마 캐비티(425) 안으로 개방될 수 있다. 유사하게, 하나 이상의 가스 주입구(412)의 제 1 단부는 확장 부분(420)의 내부 직경(430)을 따라 소정의 높이 간격에서 플라즈마 캐비티(425) 안으로 개방될 수 있다. 비록 도시되지 않았지만, 두 개의 가스 주입구(412)는 플라즈마 캐비티(425) 내에서 가스들의 혼합을 돕는 확장 부분(420) 안으로의 소용돌이 흐름 패턴 또는 "와류" 흐름을 생성하도록 확장 부분(420)의 반대측 측부들에 배치될 수 있다. 이러한 흐름 패턴과 가스 주입구 장치에 대한 더 상세한 설명은 2002년 12월 21일자로 출원된 믹구 특허 출원 제 20030079686호에 개시되어 있으며, 상기 출원은 본 명세서에서 참조로 포함된다.
하나 이상의 실시예에서, 제 1 전극(410)은 플라즈마 캐비티(425)를 둘러싸는 확장 부분(420)을 갖는다. 도 4A에 도시된 것처럼, 확장 부분(420)은 상기 설명한 것처럼 가스 주입구(412)와 유체 소통한다. 하나 이상의 실시예에서, 확장 부분(420)은 확장 부분의 상부(420A)로부터 확장 부분의 하부(420B)까지 점진적으로 증가하는 내부 표면 또는 직경(430)을 갖는 환형 부재이다. 이와 같이, 제 1 전극(410)과 제 2 전극(450) 사이의 거리는 가변적이다. 거리를 변화시키는 것은 플라즈마 캐비티(425) 내에서 생성된 플라즈마의 형성 및 안정성을 제어하는데 도움이 된다.
하나 이상의 실시예에서, 확장 부분(420)은 도 4A와 4B에 도시된 것처럼 원뿔 또는 "깔때기"와 닮았다. 도 4B는 도 4A의 상부 전극의 개략적으로 확대된 부분 단면도를 도시한다. 하나 이상의 실시예에서, 확장 부분(420)의 내부 표면(430)은 확장 부분(420)의 상부(420A)로부터 하부(420B)까지 점진적으로 경사져 있다. 내부 직경(430)의 경사 또는 각도는 처리 요구사항 및/또는 처리 제한값에 따라 바뀔 수 있다. 또한 확장 부분(420)의 길이 또는 높이는 특정 처리 요구사항 및/또는 제한값에 따라 바뀔 수 있다. 하나 이상의 실시예에서, 내부 직경(430)의 경사 또는 확장 부분(420)의 높이 또는 이들 모두는 처리에 필요한 플라즈마 용적에 따라 바뀔 수 있다. 예컨대, 내부 직경(430)의 경사는 적어도 1:1, 또는 적어도 1.5:1, 또는 적어도 2:1, 또는 적어도 3:1, 또는 적어도 4:1, 또는 적어도 5:1 또는 적어도 10:1이다. 하나 이상의 실시예에서, 내부 직경(430)의 경사는 2:1의 낮은 범위에서 20:1의 높은 범위까지 이를 수 있다.
하나 이상의 실시예에서, 확장 부분(420)은 비록 도면에 도시되지 않았지만 굴곡되거나 활모양이 될 수 있다. 예컨대, 확장 부분(420)의 내면(430)은 볼록해지거나 오목해지도록 굴곡되거나 활모양일 수 있다. 하나 이상의 실시예에서, 확장 부분(420)의 내면(430)은 각각 경사지고, 테이퍼링되고, 볼록하거나 오목한 복수의 부분들을 가질 수 있다.
상기 언급한 것처럼, 제 1 전극(410)의 확장 부분(420)은 제 1 전극(410)의 점차적으로 증가하는 내면(430)으로 인해 제 1 전극(410)과 제 2 전극(450) 사이의 수직 거리를 변화시킨다. 가변 거리는 플라즈마 캐비티(425) 내의 파워 레벨과 직접 관련한다. 이론에 얽매이지 않는다면, 두 개의 전극(410,450) 사이의 거리 변화는 플라즈마가 전체 플라즈마 캐비티(425)의 전체가 아니라면 플라즈마 캐비티(425)의 일부 내에서 플라즈마를 유지하는데 필요한 파워 레벨을 발견할 수 있게 한다. 따라서 플라즈마 캐비티(425) 내의 플라즈마는 압력에 거의 의존하지 않고, 넓은 작동 윈도우에서 생성되고 유지될 수 있게 한다. 이와 같이, 반복가능하고 신뢰할 수 있는 플라즈마가 리드 어셈블리(400) 내에서 형성될 수 있다.
제 1 전극(410)은 예컨대 알루미늄, 양극화(anodized) 알루미늄, 니켈 도금 알루미늄, 니켈 도금 알루미늄 6061-T6, 스테인레스 강 분만 아니라 이들의 조합물과 합금과 같은 임의의 처리 호환 재료들로부터 구성될 수 있다. 하나 이상의 실시예에서, 전체 제 1 전극(410) 또는 제 1 전극(410)의 부분들은 원치않는 입자 형성을 감소시키기 위해 니켈로 코팅된다. 바람직하게, 적어도 확장 부분(420)의 내면은 니켈 도금된다.
제 2 전극(450)은 하나 이상의 적층된 플레이트를 포함할 수 있다. 두 개 이상의 플레이트가 바람직한 경우, 플레이트는 서로 전기적으로 소통되어야 한다. 각각의 플레이트들은 하나 이상의 가스들이 플라즈마 캐비티(425)로부터 흐를 수 있도록 복수의 구멍 또는 가스 통로를 포함한다.
도 4B를 참조하면, 리드 어셈블리(400)는 제 2 전극(450)으로부터 제 1 전극(410)을 전기적으로 절연시키기 위한 절연 링(440)을 더 포함할 수 있다. 절연 링(440)은 알루미늄 산화물 또는 다른 절연성 처리 호환 물질로 만들어질 수 있다. 바람직하게, 절연 링(440)은 도 4B에 도시된 것처럼 적어도 확장 부분(420)을 둘러싸거나 실질적으로 둘러싼다.
도 4A에 도시된 특정 실시예를 다시 참조하면, 제 2 전극(450)은 상부판(460), 분배판(470) 및 차단판(480)을 포함한다. 상부판(460), 분배판(470) 및 차단판(480)은 도 4B에 도시된 것처럼 적층되고 챔버 몸체부(112)에 연결된 리드 림(490) 상에 배치된다. 공지된 바와 같이, 힌지 어셈블리(도시안됨)는 리드 림(490)은 챔버 몸체부(112)에 연결될 수 있다. 리드 림(490)은 열 전달 매체를 둘러싸기 위한 내장된 채널 또는 통로(492)를 포함할 수 있다. 열 전달 매체는 처리 조건에 따라 가열, 냉각 또는 가열 및 냉각을 위해 사용될 수 있다. 예시적인 열 전달 매체들은 위에서 나열하였다.
하나 이상의 실시예에서, 상부판(460)은 가스가 플라즈마 캐비티(425)로부터 흐를 수 있도록 플라즈마 캐비티(425) 직하에(beneath) 형성된 복수의 가스 통로 또는 구멍(465)을 포함한다. 하나 이상의 실시예에서, 상부판(460)은 제 1 전극(410)의 적어도 일부분을 둘러싸기에 적합한 리세싱부(462)를 포함할 수 있다. 하나 이상의 실시예에서, 구멍(465)은 리세싱부(462) 직하에서 상부판(460)의 단면을 통과한다. 상부판(460)의 리세싱부(462)는 그 사이에서 양호한 밀봉 피트(fit)를 제공하기 위해 도 4A에 도시된 것처럼 층계형 계단일 수 있다. 또한, 상부판(460)의 외부 직경은 도 4A에 도시된 것처럼 분배판(470)의 외부 직경 위에 장착되거나 놓여지도록 설계될 수 있다. 탄성 o-링(463)과 같은 o-링 타입의 밀봉부는 제 1 전극(410)과의 유체-밀봉 접촉부를 제공하도록 상부판(460)의 리세싱부(462) 내에 적어도 부분적으로 배치될 수 있다. 마찬가지로, o-링 타입의 밀봉부(466)는 상부판(460)과 분배판(470)의 외부 주변부들 사이에서 유체-밀봉 접촉부를 제공하는데 사용될 수 있다.
하나 이상의 실시예에서, 분배판(470)은 도 2A-2C를 참조로 위에서 도시하고 설명한 분배판(225)과 동일하다. 특히, 분배판(470)은 거의 디스크-모양이며 가스의 흐름을 분배시키기 위한 복수의 구멍(475) 또는 통로들을 포함한다. 구멍(475)은 처리될 기판이 위치한 챔버 몸체부(112)에 제어되고 균일한 흐름 분배를 제공하도록 크기를 가지며 분배판(470) 둘레에 위치할 수 있다. 또한, 구멍(475)은 흐르는 가스의 속도 프로파일을 늦추고 다시 방향을 조정할 뿐만 아니라 기판 표면에 걸쳐 가스의 균일한 분배를 제공하기 위해 가스 흐름을 균일하게 분배함으로써 가스(들)이 기판 표면에 직접 부딪히는 것을 방지한다.
또한, 분배판(470)은 외측 둘레(outer perimeter)에 형성된 환형 장착 플랜지(472)를 포함할 수 있다. 장착 플랜지(472)는 리드 림(490)의 상부면 위에 놓일 수 있는 크기를 가질 수 있다. 탄성 o-링과 같은 o-링 타입의 밀봉부는 리드 림(490)과의 유체-밀봉 접촉부를 제공하기 위해 환형 장착 플랜지(472) 내에 적어도 부분적으로 배치될 수 있다.
하나 이상의 실시예에서, 분배판(470)은 리드 어셈블리(400)의 온도를 제어하기 위해 가열기 또는 가열 유체를 둘러싸기 위한 하나 이상의 내장된 채널 또는 통로(474)를 포함한다. 상기 설명한 리드 어셈블리(200)와 유사하게, 저항성 가열 소자가 분배판(470)을 가열시키기 위해 통로(474) 내에 삽입될 수 있다. 열전쌍은 온도를 조절하도록 분배판(470)에 연결될 수 있다. 열전쌍은 상기 설명한 것처럼, 가열 소자에 공급된 전류를 제어하기 위해 피드백 루프에 사용될 수 있다.
대안적으로, 열 전달 매체는 통로(474)를 통과할 수 있다. 하나 이상의 통로(474)는 필요시 챔버 몸체부(112) 내의 처리 조건에 따라 분배판(470)의 온도를 잘 조절할 수 있도록 냉각 매체를 포함할 수 있다. 상기 언급한 것처럼, 예컨대 질소, 물, 에틸렌 글리콜, 또는 이들의 혼합물과 같은 열 전달 매체가 사용될 수 있다.
하나 이상의 실시예에서, 리드 어셈블리(400)는 하나 이상의 가열 램프(도시안됨)를 사용하여 가열될 수 있다. 통상적으로, 가열 램프는 분배판(470)을 포함하는 리드 어셈블리(400)의 부품들을 방사에 의해 가열시키도록 분배판(470)의 상부 표면 주위에 배치된다.
차단판(480)은 선택적이며 상부판(460)과 분배판(470) 사이에 배치된다. 바람직하게, 차단판(480)은 상부판(460)의 하부 표면에 제거가능하게 장착된다. 차단판(480)은 상부판(460)과 양호하게 열적으로 및 전기적으로 접촉해야 한다. 하나 이상의 실시예에서, 차단판(480)은 볼트 또는 유사한 패스너를 사용하여 상부판(460)에 결합될 수 있다. 또한 차단판(480)은 상부판(460)의 외부 직경 위로 스레딩(thread)되거나 나사결합될 수 있다.
차단판(480)은 상부판(460)으로부터 분배판(470)까지 복수의 가스 통로를 제공하기 위한 복수의 구멍(485)을 포함한다. 구멍(485)은 제어되고 균일한 흐름 분배를 분배판(470)에 제공하도록 크기를 가지며 차단판(480) 둘레에 위치한다.
도 4C는 리드 어셈블리(400)가 위에 놓인 챔버 몸체부(112)의 부분 단면도를 도시한다. 바람직하게, 확장 부분(420)은 도 4C에 도시된 것처럼 지지 어셈블리(300) 위의 중앙에 위치한다. 플라즈마 캐비티(425) 내의 플라즈마 제한 및 제한된 플라즈마의 중심 위치는 해리된 가스(들)이 챔버 몸체부(112) 안으로 균일하고 반복가능하게 분배되게 한다. 특히, 플라즈마 용적(425)을 떠난 가스가 상부판(460)의 구멍(465)을 통해 차단판(480)의 상부 표면까지 흐른다. 차단판(480)의 구멍(485)은 가스가 챔버 몸체부(112) 내의 기판(도시안됨)과 접촉하기 전에 분배판(470)의 구멍(475)을 통해 더 분배되도록 분배판(470)의 후면으로 가스를 분배시킨다.
중앙에 위치한 플라즈마 캐비티(425) 내의 플라즈마 제한과 제 1 전극(410)과 제 2 전극(450) 사이의 가변 거리는 리드 어셈블리(400) 내에서 안정적이고 신뢰할 수 있는 플라즈마를 생성하는 것으로 여겨진다.
설명을 간단하고 용이하게 하기 위해, 처리 챔버(100) 내에서 수행된 암모니아(NH3)와 나이트로겐 트리플루오라이드(NF3) 가스 혼합물을 사용하여 실리콘 산화물을 제거하기 위한 예시적인 드라이 에칭 처리가 이제 설명된다. 처리 챔버(100)는 어닐링 처리를 포함하는 하나의 처리 환경 내에서의 기판 가열과 냉각에 추가하여 플라즈마 처리(treatment)로부터 장점을 얻는 임의의 드라이 에칭 처리에 바람직한 것으로 여겨진다.
도 1을 참조하면, 드라이 에칭 처리는 예컨대 반도체 기판과 같은 기판(도시안됨)을 처리 챔버(100) 안으로 위치시킴으로써 시작한다. 기판은 통상적으로 슬릿 밸브 개구부(160)를 통해 챔버 몸체부(112) 안으로 위치하고 지지 부재(310)의 상부 표면 위에 위치한다. 기판은 지지 부재(310)의 상부 표면에 척킹되고(chuck), 에지 퍼지(purge)가 채널(334)을 통과한다. 바람직하게, 기판은 도관(313)을 통해 진공 펌프와 유체 소통하는 홀(312)과 홈(316)을 통해 진공화시킴으로써 지지 부재(310)의 상부 표면에 척킹된다. 이 때 지지 부재(310)는 이미 처리 위치에 있지 않다면 챔버 몸체부(112) 내의 처리 위치로 들어올려 진다. 바람직하게 챔버 몸체부(112)는 50℃ 내지 80℃ 사이의 온도에서 유지되고 더 바람직하게는 약 65℃의 온도에서 유지된다. 이러한 챔버 몸체부(112)의 온도는 열 전달 매체를 유체 채널(113)을 통과시킴으로써 유지된다.
기판은 열 전달 매체 또는 냉각제를 지지 어셈블리(300) 내에 형성된 유체 채널(360)에 통과시킴으로써 15℃ 내지 50℃ 사이와 같이 65 ℃ 아래로 냉각된다. 일 실시예에서, 기판은 실온 아래로 유지된다. 또 다른 실시예에서, 기판은 22℃ 내지 40℃ 사이의 온도에서 유지된다. 통상적으로, 지지 부재(310)는 상기 설명한 바람직한 기판 온도에 도달하도록 약 22℃ 아래로 유지된다. 지지 부재(310)를 냉각시키기 위해, 냉각제는 유체 채널(360)을 통과한다. 냉각제의 연속적인 흐름이 지지 부재(310)의 온도를 더 잘 제어하기에 바람직하다. 냉각제는 50퍼센트 부피의 에틸렌 글리콜과 50퍼센트 부피의 물이다. 물론, 기판의 바람직한 온도가 유지되는 한 임의의 비율의 물과 에틸렌 글리콜이 사용될 수 있다.
다음에 암모니아와 나이트로겐 트리플루오라이드 가스는 세척 가스 혼합물을 형성하기 위해 챔버(100) 안으로 유입된다. 챔버 안으로 유입된 각각의 가스의 양은 가변적이며 예컨대 제거될 산화물 층의 두께, 세척되는 기판의 형상, 플라즈마의 용적 능력, 챔버 몸체부(112)의 용적 능력, 뿐만 아니라 챔버 몸체부(112)에 결합된 진공 시스템의 능력을 수용하도록 조절될 수 있다. 일 양상에서, 가스는 암모니아 대 나이트로겐 트리플루오라이드의 몰비율이 적어도 1:1인 가스 혼합물을 제공하도록 첨가된다. 또 다른 양상에서, 가스 혼합물의 몰비율은 (암모니아 대 나이트로겐 트리플루오라이드가) 적어도 약 3:1이다. 바람직하게, 가스는 (암모니아 대 나이트로겐 트리플루오라이드가) 5:1 내지 30:1의 몰비율로 챔버(100) 안으로 유입된다. 더 바람직하게, 가스의 몰비율은 (암모니아 대 나이트로겐 트리플루오라이드가) 5:1 내지 10:1이다. 또한 가스 혼합물의 몰비율은 (암모니아 대 나이트로겐 트리플루오라이드가) 약 10:1 내지 약 20:1 사이에 이를 수 있다.
또한, 퍼지 가스 또는 캐리어 가스가 가스 혼합물에 첨가될 수 있다. 예컨대, 아르곤, 헬륨, 수소, 질소, 또는 이들의 혼합물과 같은 적절한 퍼지/캐리어 가스가 사용될 수 있다. 통상적으로, 전체 가스 혼합물은 암모니아와 나이트로겐 트리플루오라이드의약 0.05% 내지 약 20% 부피이다. 잔류하는 것은 캐리어가스이다. 일 실시예에서, 퍼지 또는 캐리어 가스는 챔버 몸체부(112) 내의 압력을 안정화시키기 위해 반응 가스보다 먼저 챔버 몸체부(112) 안으로 유입된다.
챔버 몸체부(112) 내의 동작 압력은 바뀔 수 있다. 통상적으로, 압력은 약 500 mTorr 내지 약 30 Torr 사이에서 유지된다. 바람직하게, 압력은 약 1 Torr 내지 약 10 Torr 사이에서 유지된다. 더 바람직하게는, 챔버 몸체부(112) 내의 동작 압력은 약 3 Torr 내지 6 Torr 사이에서 유지된다.
가스 전달 어셈블리(220) 내에 담겨진 용적(261,262,263) 내에 가스 혼합물의 플라즈마를 점화시키기 위해 약 5 내지 약 600 와트의 RF 파워가 전극에 공급된다. 바람직하게, RF 파워는 100 와트 미만이다. 더 바람직하게는, 파워가 공급되는 주파수는 100 kHz 미만과 같이 매우 낮다. 바람직하게, 주파수는약 50 kHz 내지 약 90 kHz 사이의 범위이다.
플라즈마 에너지는 암모니아와 나이트로겐 트리플루오라이드 가스를 반응성 종들로 해리시켜 가스 상태의 높은 반응성 암모니아 플루오라이드(NH4F) 화합물 및/또는 암모니아 하이드로겐 플루오라이드(NH4FㆍHF)를 형성하도록 결합한다. 다음에 이들 분자들은 세척될 기판 표면과 반응하도록 분배판(225)의 홀(225A)을 통해 가스 분배 어셈블리(220)를 통해 흐른다. 일 실시예에서, 캐리어 가스가 먼저 챔버(100) 안으로 유입되고, 캐리어 가스의 플라즈마가 생성되며, 다음에 반응성 가스, 암모니아와 나이트로겐 트리플루오라이드가 플라즈마에 첨가된다.
이론에 얽매이지 않고, NH4F 및/또는 NH4FㆍHF의 에천트 가스는 실리콘 산화물 표면과 반응하여 암모니아 헥사플루오로실리케이트(NH4)2SiF6, NH3, 및 H2O 생산물을 형성한다. NH3, 및 H2O은 처리 조건에서 증기이며 진공 펌프(125)에 의해 챔버(100)로부터 제거된다. 특히, 가스가 진공포트(131)를 통해 진공 펌프(125) 안으로 챔버(100)를 배출시키기 전에 휘발성 가스가 라이너(133) 내에 형성된 구멍(135)을 통해 펌핑 채널(129) 안으로 흐른다. (NH4)2SiF6 의 얇은 막은 기판 표면 위에 남겨진다. 이러한 반응 메커니즘은 다음과 같이 요약될 수 있다:
NF3 + NH3 -> NH4F + NH4FㆍHF + N2
6NH4F + SiO2 -> (NH4)2SiF6 + H2O
(NH4)2SiF6 + 열 -> NH3 + HF + SiF4
얇은 막이 기판 표면 위에 형성된 후에, 지지 부재(310)-기판이 상기 지지 부재(310) 상에서 지지됨- 는 가열된 분배판(225)에 매우 인접한 어닐링 위치까지 상승한다. 분배판(225)으로부터 복사된 열은 (NH4)2SiF6 의 얇은 막을 휘발성 SiF4, NH3, 및 HF 생산물로 해리시키거나 부차 제한하기에 충분해야한다. 다음에 이러한 휘발성 생산물은 상기 설명한 것처럼 진공 펌프(125)에 의해 챔버로부터 제거된다. 통상적으로, 75℃ 또는 그 이상의 온도가 기판으로부터 얇은 막을 효과적으로 부차 제한하고 제거하는데 사용된다. 바람직하게, 약 115℃ 내지 약 200℃ 사이와 같이 100℃ 또는 그 이상의 온도가 사용된다.
(NH4)2SiF6 의 얇은 막을 휘발성 성분으로 해리시키기 위한 열 에너지는 분배판(225)에 의해 대류하거나 복사된다. 이상 설명한 바와 같이, 가열 소자(270)는 분배판(225)에 직접 결합하고, 분배판(225)과 상기 성분들을 약 75℃ 내지 250℃ 사이의 온도까지 가열시켜 열접촉하도록 활성화된다. 일 양상에서, 분배판(225)은 약 120℃와 같이 100℃ 내지 150℃ 사이의 온도까지 가열된다.
이러한 상승 변화는 다양한 방법으로 달성될 수 있다. 예컨대, 리프트 메커니즘(330)은 분배판(225)의 하부 표면 방향으로 지지 부재(310)를 상승시킬 수 있다. 이러한 리프팅 단계 동안, 기판은 상기 설명한 진공 척 또는 정전 척과 같은 것에 의해 지지 부재(310)에 고정된다. 선택적으로, 기판은 지지 부재(310)와 떨어져 리프팅되고 리프트 링(320)을 통해 리프트 핀(325)을 상승시킴으로써 가열된 분배판(225)에 매우 인접하여 위치한다.
자신의 위에 얇은 막을 갖는 기판의 상부 표면과 분배판(225) 사이의 거리는 중요하지 않으며 일상 실험 문제이다. 당업자는 하부 기판을 손상시키지 않으면서 얇은 막을 매우 효율적이고 효과적으로 기화시키는데 필요한 간격을 용이하게 결정할 수 있을 것이다. 그러나, 약 0.254 mm(10 mils) 내지 5.08 mm(200 mils) 사이의 간격이 효과적인 것으로 여겨진다.
일단 막이 기판으로부터 제거되면, 챔버는 퍼지되고 진공화된다. 다음에 세척된 기판은 기판을 이송 위치까지 낮추고, 기판을 디척킹(dechuck)시키고, 슬릿 밸브 개구부(160)를 통해 기판을 이송시킴으로써 챔버 몸체부(112)로부터 제거된다.
시스템 제어기(도시안됨)는 처리 챔버(100)의 동작을 조절하는데 사용될 수 있다. 시스템 제어기는 컴퓨터의 하드 디스크 드라이브 상에 저장된 컴퓨터 프로그램의 제어하에 동작할 수 있다. 예컨대, 컴퓨터 프로그램은 처리 시퀀싱과 타이밍, 가스의 혼합, 챔버 압력, RF 전력 레벨, 서셉터 위치설정, 슬릿 밸브 개방 및 폐쇄, 웨이퍼 냉각 및 특정 처리의 기타 파라미터들을 지시할 수 있다. 사용자와 시스템 제어기 간의 인터페이스는 CRT 모니터와 발광펜(도시안됨)을 이용하여 이루어질 수 있다. 바람직한 실시예에서, 두 개의 모니터가 사용되는데, 하나의 모니터는 조작자를 위해 세척실 벽에 장착되고 다른 모니터는 서비스 기술자들을 위해 벽 뒤에 장착된다. 또한 두 개의 모니터가 동시에 동일한 정보를 디스플레이하면서 하나의 발광펜만을 이용하는 것이 바람직하다. 발광펜은 펜 끝의 광센서를 이용하여 CRT 디스플레이에 의해 방출되는 광을 검출한다. 특정 스크린 또는 기능을 선택하기 위하여, 조작자는 디스플레이 스크린의 지정 여역을 터칭하고 펜으로 버튼을 누를 수 있다. 일반적으로 디스플레이 스크린은 모양, 즉 하이라이트 또는 색상을 바꾸거나 새로운 메뉴나 스크린을 디스플레이함으로써 발광펜과 터치된 영역 사이의 통신을 확인한다.
다양한 처리들이 예컨대 시스템 제어기를 실행시키는 컴퓨터 프로그램 제품을 사용하여 구현될 수 있다. 컴퓨터 프로그램 코드는 예컨대 68000 어셈블리 언어, C, C++, 또는 파스칼과 같은 종래 컴퓨터 판독가능 프로그래밍 언어로 작성될 수 있다. 적절한 프로그램 코드는 종래 텍스트 에디터를 사용하여 하나의 파일 또는 다중 파일로 입력되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용가능한 매체에 저장 또는 내장될 수 있다. 만약 입력된 코드 텍스트가 하이 레벨 언어라면, 코드는 컴파일링되고, 결과적인 컴파일러 코드는 미리 컴파일링된 라이브러리 루틴의 오브젝트 코드와 링크된다. 링크된 컴파일링 오브젝트 코드를 실행시키기 위하여, 시스템 사용자는 오브젝트 코드를 인보크하며, 이에 의해 컴퓨터 시스템은 메모리의 코드를 로딩시키고, 이로부터 CPU는 프로그램에서 식별된 작업을 수행하기 위해 코드를 판독하고 실행시킨다.
도 5A-5H는 본 명세서에서 설명한 드라이 에칭 처리 및 처리 챔버(100)를 이용하여, MOSFET 구조물(500)과 같은 예시적인 능동 전자소자를 형성하기 위한 예시적인 제조 시퀀스의 개략적인 단면도이다. 도 5A-5H를 참조하면, 예시적인 MOSFET 구조물은 예컨대, 실리콘 또는 갈륨 아르세나이드 기판(525)과 같은 반도체 물질 상에 형성될 수 있다. 바람직하게, 기판(525)은 결정 방향이 <100>이고 직경이 150 mm(6인치), 200 mm(8인치), 또는 300 mm(12인치)인 실리콘 웨이퍼이다. 통상적으로, MOSFET 구조물은 (ⅰ) 실리콘 이산화물, 오르가노실리케이트, 카본 도핑된 실리콘 산화물, 포스포실리케이트 글라스(PSG), 보로포스포실리케이트 글라스(BPSG), 실리콘 나이트라이드, 또는 이들의 조합물과 같은 유전층; (ⅱ) 도핑된 폴리실리콘, 및 n-타입 또는 p-타입 도핑된 단결정 실리콘과 같은 반도체 층; (ⅲ) 텅스텐, 텅스텐 실리사이드, 티타늄, 티타늄 실리사이드, 코발트 실리사이드, 니켈 실리사이드, 또는 이들의 조합과 같은 금속 또는 금속 실리사이드의 층으로 형성된 전기 콘택 및 상호접속 라인들의 조합을 포함한다.
도 5A를 참조하면, 능동 전자 소자의 제조는 능동 전자 소자를 다른 소자들과 전기적으로 절연시키는 전기 절연 구조물을 형성함으로써 개시된다. 일반적으로 맥그로우-힐 출판사 발간(1988), 에스. 엠. 스지(S. M. Sze) 의 VLSI 기술, 2판, 11장에 개시된 것과 같은 여러 타입의 전기 절연 구조물이 존재하며, 상기 문헌은 본 명세서에서 참조로 포함된다. 일 버젼(version)에서, 두께가 약 2000 옴스트롱인 필드 산화물 층(도시안됨)이 전체 기판(525) 위에서 성장하고, 산화물 층의 다른 부분들은 소자의 전기적 능동 엘리먼트가 형성되는 노출된 영역들을 둘러싸는 필드 산화물 베리어(545A,B)를 형성하기 위해 제거된다. 노출된 영역들은 두께가 약 50 내지 300 옹스트롱인 얇은 게이트 산화물 층(550)을 형성하기 위해 열적으로 산화된다. 다음에 폴리실리콘 층이 게이트 전극(555)을 형성하기 위해 증착되고, 패턴화되고, 에칭된다. 폴리실리콘 게이트 전극(555)의 표면은 절연성 유전층(560)을 형성하기 위해 다시 산화되어 도 5A에 도시된 구조물을 형성한다.
도 5B를 참조하면, 소스와 드레인(570A,B)은 적절한 영역들을 적합한 도펀트 원자들로 도핑함으로써 형성된다. 예컨대, p-타입 기판상에서는, 아르세닉 또는 포스포러스를 포함하는 n-타입 도펀트 종들이 사용된다. 통상적으로 도핑은 이온 주입기에 의해 수행되고 예컨대, 약 30 내지 80 Kev 에너지 레벨에서 약 1013 원자/cm2 농도인 포스포러스(31P), 또는 약 10 내지 100 Kev 에너지와 약 1015 내지 1017 원자/cm2 의 도우즈(dose)인 아르세닉(75As)를 포함할 수 있다. 이온주입 처리 후에, 도펀트는 예컨대 급속 열처리(RTP) 장치에서 기판을 가열시킴으로써 기판(525) 안으로 이동한다. 그 후에, 소스와 드레인 영역(570A,B)을 덮는 산화물층(550)은 산화물층 내에 포획된 이온주입 처리에 의해 발생한 불순물들을 제거하기 위해 종래 스트립핑 방식으로 스트립핑되어, 도 8B에 도시된 구조물을 형성한다.
도 5C와 5D를 참조하면, 실리콘 나이트라이드 층(575)은 SiH2, Cl2, 및 NH3의 가스 혼합물을 사용하여 저압 화학 기상 증착(LPCVD)에 의해 게이트 전극(555)과 기판(525) 상의 표면 상에 증착된다. 다음에 실리콘 나이트라이드 층(575)은 도 5D에 도시된 것처럼 게이트 전극(555)의 측벽 상에 나이트라이드 스페이서(580)를 형성하기 위해 반응성 이온 에칭(RIE) 기술을 이용하여 에칭된다. 스페이서(580)는 소스(570A)와 드레인(570B) 위에 증착된 다른 실리사이드 층들로부터 게이트(555)의 상부 표면 상에 형성된 실리사이드 층을 전기적으로 절연시킨다. 전기 절연 측벽 스페이서(580)과 상부층(overlay)은 실리콘 산화물과 같은 기타 재료들로 제조될 수 있다. 측벽 스페이서(580)를 형성하는데 사용된 실리콘 산화물 층은 통상적으로 약 600℃ 내지 약 1000℃ 사이 범위의 온도에서 테트라에톡시실란(TEOS)의 공급 가스로부터 CVD 또는 PECVD에 의해 증착된다.
도 5E를 참조하면, 자연 실리콘 산화물 층(585)은 처리 전후에 대기에 노출시킴으로써 노출된 실리콘 표면 상에 형성된다. 자연 실리콘 산화물 층(585)은 형성된 금속 실리사이드의 합금 반응과 전기적 도전성을 향상시키기 위해 게이트(555), 소스(570A), 드레인(570B) 상에 도전성 금속 실리사이드 콘택을 형성하기 전에 제거되어야 한다. 자연 실리콘 산화물 층(585)은 반도체 재료의 전기 저항을 증가시키고, 후속하여 증착되는 실리콘과 금속 층의 실리사이드화(silicidation) 반응에 나쁜 영향을 줄 수 있다. 따라서, 만약 능동 전자 소자들을 상호접속시키기 위해 금속 실리사이드 콘택 또는 도전체를 형성하기 전에 설명한 드라이 에칭 처리를 사용하여 상기 자연 실리콘 이산화물 층(585)을 제거하는 것이 필요하다. 드라이 에칭 처리는 도 5F에 도시된 것처럼 소스(570A), 드레인(570B), 및 게이트 전극(555)의 상부 표면을 노출시키기 위해 자연 실리콘 산화물 층(585)을 제거한다.
따라서, 도 5G에 도시된 것처럼, PVD 스퍼터링 처리는 금속 층(590)을 증착시키는데 사용된다. 다음에 종래 노 어닐링은 금속층(590)이 실리콘과 접촉하는 영역에 금속 실리사이드를 형성하기 위해 금속 및 실리콘 층을 어닐링하는데 사용된다. 통상적으로 어닐링은 개별 처리 시스템에서 수행된다. 따라서, 보호성 캡층(도시안됨)은 금속(590) 위에 증착될 수 있다. 캡층은 통상적으로 나이트라이드 물질이며 티타늄 나이트라이드, 텅스텐 나이트라이드, 탄탈륨 나이트라이드, 나프늄 나이트라이드, 및 실리콘 나이트라이드로 이루어진 그룹 중에서 선택된 사나 이상의 재료를 포함할 수 있다. 캡층은 임의의 증착 처리, 바람직하게는 PVD에의해 증착될 수 있다.
통상적으로 어닐링은 약 30분 동안 질소 분위기에서 600℃ 내지 800℃ 사이의 온도까지 기판(500)을 가열시키는 단계를 포함한다. 대안적으로, 금속 실리사이드(595)는 기판(500)이 약 30초 동안 약 1000℃까지 급속하게 가열되는 급속 열 어닐링 처리를 이용하여 형성될 수 있다. 적절한 도전성 금속은 코발트, 티타늄, 니켈, 텅스텐, 플래티늄, 및 낮은 접촉 저항을 갖고 폴리실리콘과 단결정 실리콘 모두에 신뢰가능한 금속 실리사이드 콘택을 형성할 수 있는 임의의 다른 금속을 포함한다.
금속층(590)의 반응하지 않는 부분은 금속 실리사이드(595); 스페이서(580), 또는 필드 산화물(545A,B)을 공격하지 않고 금속을 제거하는 왕수(aqua regia)(HCl 및 HNO3)를 이용한 습식 에칭에 의해 제거되어, 도 5H에 도시된 것처럼 게이트(555), 소스(570A), 및 드레인(570B) 상의 자체-정렬 금속 실리사이드 콘택(595)을 남겨둔다. 그 후에, 예컨대, 실리콘 산화물, BPSG, PSG를 포함하는 절연 커버층은 전극 구조물 상에 증착될 수 있다. 절연 커버 층은 재료가 낮은 압력 또는 대기압으로 공급 가스로부터 응축된 CVD 챔버의 화학-기상 증착을 이용하여 증착되며, 이는 1996년 3월 19일자로 부여된 본 출원인의 미국 특허 제5,500,249호에 개시되어 있으며, 상기 특허는 본 명세서에서 참조로 포함된다. 그 후에, 구조물(500)은 매끄러운 평탄화 표면을 형성하기 위해 유리 전이 온도에서 어닐링된다.
하나 이상의 실시예에서, 처리 챔버(100)는 캘리포니아, 산타 클라라에 위치한 어플라이드 머티어리얼스 사로부터 이용할 수 있는 EnduraTM 플랫폼과 같은 다중-처리 플랫폼으로 통합될 수 있다. 이와 같은 처리 플랫폼은 진공을 없애지 않고 여러 처리 동작을 수행할 수 있다. EnduraTM 플랫폼의 상세한 설명은 1999년 11월 30일자로 출원된 제목의 본 출원인의 "통합된 모듈형 처리 플랫폼"이란 미국 출원 번호 09/4451,628에 개시되어 있으며, 상기 출원 명세서는 본 명세서에서 참조로 포함된다.
도 6은 예시적인 다중-챔버 처리 시스템(600)의 개략적인 상면도이다. 시스템(600)은 기판을 시스템(600) 내외로 이송시키기 위해 하나 이상의 로드 락 챔버(602,604)를 포함할 수 있다. 통상적으로, 시스템(600)은 진공 상태이기 때문에, 로드 락 챔버(602,604)는 시스템(600) 안으로 들어온 기판을 "펌핑 다운"시킬 수 있다. 제 1 로봇(610)은 로드락 챔버(602,604)와 제 1 세트의 하나 이상의 기판 처리 챔버들(612,614,616,618)(4개가 도시됨) 사이에서 기판을 이송시킬 수 있다. 각각의 처리 챔버(612,614,616,618)는 싸이클리컬(cyclical) 층 증착(CLD), 원자층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세척, 배기, 방향설정 및 기타 기판 처리에 추가하여 여기서 설명한 드라이 에칭 처리를 포함하는 복수의 기판 처리 동작을 수행하도록 제공될 수 있다.
또한 제 1 로봇(610)은 하나 이상의 이송 챔버(622,624)로 기판을 이송시키고 이들 챔버로부터 기판을 이송시킬 수 있다. 이송 챔버(622,624)는 초고진공 조건을 유지하면서 기판이 시스템(600) 내에서 이송되도록 사용될 수 있다. 제 2 로봇(630)은 이송 챔버(622,624)와 제 2 세트의 하나 이상의 처리 챔버(632,634,636,638) 간에 기판을 이송시킬 수 있다. 처리 챔버(612,614,616,618)와 유사하게, 처리 챔버(632,634,636,638)는 예컨대 싸이클리컬 층 증착(CLD), 원자층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세척, 배기, 및 포지셔닝에 추가하여 여기서 설명한 드라이 에칭 처리를 포함하는 다양한 기판 처리 동작을 수행하도록 제공될 수 있다. 기판 처리 챔버(612,614,616,618,632,634,636,638) 중 임의의 챔버가 만약 시스템(600)에 의해 수행될 특정 처리에 필요하지 않다면 시스템(600)에서 제거될 수 있다.
도 5A-5H의 MOSFET 구조물을 형성하기 위한 예시적인 다중-처리 시스템(600)은 상기 설명한 것과 같은 두 개의 처리 챔버(100), 금속(500)을 증착시키기 위한 두 개의 물리 기상 증착 챔버 및 선택적인 캡층(도시안됨)을 증착시키기 위한 두 개의 물리 기상 증착 챔버를 포함할 수 있다. 도 6에 도시된 처리 챔버(612,614,616,618,632,634,636,638)중 임의의 챔버는 PVD 챔버 및/또는 처리 챔버(100)를 나타낸다.
비록 상기 처리 시퀀스가 MOSFET 소자 형성과 관련하여 설명되었지만, 여기서 설명한 드라이 에칭 처리도 기타 반도체 구조물 및 예컨대 텅스텐, 탄탈륨, 몰리브데늄의 실리사이드와 같은 기타 금속 실리사이드 층을 갖는 소자를 형성하는데 사용될 수 있다. 또한, 세척 처리는 예컨대 알루미늄, 구리, 코발트, 니켈, 실리콘, 티타늄, 팔라듐, 하프늄, 보론, 텅스텐, 탄탈륨, 또는 이들의 혼합물을 포함하는 상이한 금속의 층을 증착하기 전에 사용될 수 있다.
상기 설명의 이해를 용이하게 하기 위하여, 다음의 제한적이지 않은 예가 제공된다. 비록 본 예가 특정 실시예에 대한 것일 수 있지만, 상기 예는 어떠한 특정한 과점에서든지 본 발명을 제한하는 것으로 해석되어서는 안 된다.
예:
에칭 동안, 2 sccm의 NF3, 10 sccm의 NH3 및 2500sccm의 아르곤의 가스 혼합물이 챔버 안으로 유입되었다. 가스 혼합물의 플라즈마가 100 와트 파워를 사용하여 점화되었다. 바닥 퍼지는 1,500 sccm의 아르곤이고 에지 퍼지는 50 sccm의 아르곤이었다. 챔버 압력은 약 6 Torr에서 유지되었고, 기판 온도는 약 22℃이었다. 기판은 120초 동안 에칭되었다.
후속하는 어닐링 동안, 간격은 750 mil이었고 리드 온도는 120℃이었다. 기판은 약 60초 동안 어닐링되었다. 약 50 옹스트롱의 재료가 기판 표면으로부터 제거되었다. 어닐링 효과는 관찰되지 않았다. 에칭율은 초당 약 0.46 옹스트롱(28Å/분)이었다. 관찰된 에칭 균일도는 50Å 에치에 대해 약 5%이었다.
다르게 지칭하는 것이 없다면, 성분, 특성, 반응 조건 등의 양을 표현하는 명세서 및 청구항에 사용된 모든 숫자가 근사값으로 이해될 수 있다. 이들 근사값들은 본 발명에 의해 얻어지는 원하는 특성과 측정값 에러에 기초한 것이며, 적어도 보고된 중요한 수치들을 고형하여 통상의 라운딩 기술을 적용하여 해석되어야 한다. 또한, 여기서 표현된, 온도, 압력, 간격, 몰비율, 흐름속도, 등을 포함하는 양은 원하는 에칭 선택도와 입자 성능을 얻도록 더욱 최적화될 수 있다.
상기 설명은 본 발명의 실시예들에 관한 것이지만, 본 발명의 추가의 다른 실시예가 본 발명의 기본 범위를 벗어나지 않고 도출될 수 있으며, 그 범위는 하기 청구항에 의해 결정된다.
삭제
*도면의 주요 부분에 대한 설명*
처리챔버: 100, 612, 614, 616, 618, 632, 634, 636, 638
챔버 몸체부: 100 유체 채널: 113, 202, 360
진공 펌프: 125 진공 채널: 129A
가열 소자: 270 열전쌍: 272
금속층: 590 이송 챔버: 622, 624

Claims (20)

  1. 기판 지지 어셈블리로서,
    관통하여 배치된 하나 이상의 유체 도관들을 갖는 샤프트;
    상기 샤프트의 단부 상에 배치된 지지 부재 ― 상기 지지 부재는 상기 지지 부재의 상부 표면 내에 형성된 하나 이상의 유체 채널들을 가지며 각각의 유체 채널은 상기 하나 이상의 유체 도관들과 소통됨 ―;
    상기 하나 이상의 유체 도관들과 유체 소통되는 상기 지지 부재의 하부 표면 내에 형성되는 냉각 채널; 및
    관통하여 형성된 복수의 홀들을 갖는 상부 플레이트 - 상기 상부 플레이트는 상기 복수의 홀들이 각각 상기 지지 부재 내에 형성된 상기 하나 이상의 유체 채널들 중 적어도 하나와 유체 소통되도록 상기 지지 부재의 상기 상부 표면 상에 배치됨 ―; 를 포함하고,
    상기 냉각 채널은 상기 지지 부재의 기판 수용 표면과 열적(thermal) 소통되는 기판 지지 어셈블리.
  2. 제 1 항에 있어서,
    상기 하나 이상의 유체 도관들 중 적어도 하나는 기판을 상기 상부 플레이트에 척킹(chucking)하기 위해 진공 펌프와 유체 소통되는,
    기판 지지 어셈블리.
  3. 제 1 항에 있어서,
    상기 하나 이상의 유체 도관들 중 적어도 하나는 상기 지지 부재의 측벽 상에 증착을 방지하기 위해 상기 지지 부재의 상기 상부 표면 내에 형성된 상기 하나 이상의 유체 채널들에 퍼지 가스를 제공하는,
    기판 지지 어셈블리.
  4. 제 1 항에 있어서,
    상기 하나 이상의 유체 도관들 중 적어도 하나는 상기 지지 부재를 냉각하기 위해 상기 지지 부재의 상기 상부 표면 내에 형성된 상기 하나 이상의 유체 채널들에 냉각제를 제공하는,
    기판 지지 어셈블리.
  5. 제 1 항에 있어서,
    상기 상부 플레이트는 상기 지지 부재의 상기 상부 표면 상에 위치한 분리가능한 전극(detachable electrode)을 포함하는,
    기판 지지 어셈블리.
  6. 제 1 항에 있어서,
    상기 상부 플레이트는 상부에 지지된 기판과의 접촉을 최소화하기 위해 자신의 상부 표면 상에 배치된 복수의 융기된 딤플(raised dimple)들을 포함하는,
    기판 지지 어셈블리.
  7. 제 1 항에 있어서,
    상기 상부 플레이트는 실리콘(silicon)으로 구성되는,
    기판 지지 어셈블리.
  8. 제 1 항에 있어서,
    상기 상부 플레이트는 관통하는 이동성(moveable) 지지 핀을 하우징하기 위해 상기 지지 부재 내에 형성된 구멍(bore)과 정렬된 하나 이상의 수직 구멍들을 갖는,
    기판 지지 어셈블리.
  9. 제 8 항에 있어서,
    상기 하나 이상의 수직 구멍들은 상기 이동성 지지 핀과의 마찰을 감소시키도록 세라믹 슬리브(ceramic sleeve)로 라이닝되는(lined),
    기판 지지 어셈블리.
  10. 제 1 항에 있어서,
    상기 지지 부재의 외측 주변 둘레에 배치된 환형 링을 더 포함하는,
    기판 지지 어셈블리.
  11. 제 10 항에 있어서,
    상기 환형 링은 상부의 증착을 방지하기 위해 상기 지지 부재의 외측 주변 둘레에 퍼지 가스를 안내(guide)하도록 기능하는,
    기판 지지 어셈블리.
  12. 기판 지지 어셈블리로서,
    관통하여 배치된 적어도 하나의 가스 도관 및 액체 도관을 갖는 샤프트;
    상기 샤프트의 단부 상에 배치된 지지 부재 ― 상기 지지 부재는 상기 가스 도관과 유체 소통되도록 상기 지지 부재의 상부 표면 내에 형성된 채널을 갖고, 상기 지지 부재는 또한 상기 액체 도관과 유체 소통되도록 상기 지지 부재의 하부 표면 내에 형성된 열 교환 통로를 가짐 ―; 및
    관통하여 형성된 복수의 홀들을 갖는 상부 플레이트 ― 상기 상부 플레이트는 상기 복수의 홀들이 각각 상기 지지 부재의 상기 상부 표면 내에 형성된 상기 채널과 유체 소통되도록 상기 지지 부재의 상기 상부 표면 상에 배치되고, 상기 지지 부재의 하부 표면 내에 형성된 상기 열 교환 통로는 상기 지지 부재의 기판 수용 표면과 열적(thermal) 소통됨 ―
    을 포함하는 기판 지지 어셈블리.
  13. 제 12 항에 있어서,
    상기 지지 부재의 외측 주변 둘레에 배치된 환형 링을 더 포함하는,
    기판 지지 어셈블리.
  14. 제 13 항에 있어서,
    상기 환형 링은 상부의 증착을 방지하기 위해 상기 지지 부재의 상기 외측 주변 둘레에 퍼지 가스를 안내하도록 구성되는,
    기판 지지 어셈블리.
  15. 제 12 항에 있어서,
    상기 상부 플레이트는 상기 지지 부재의 상기 상부 표면 상에 위치한 분리가능한 부재인,
    기판 지지 어셈블리.
  16. 제 12 항에 있어서,
    상기 상부 플레이트는 상부에 지지된 기판과의 접촉을 최소화하기 위해 복수의 융기된 딤플들을 가진 상부 리세스 표면을 갖는,
    기판 지지 어셈블리.
  17. 제 1 항에 있어서,
    상기 상부 플레이트 및 상기 지지 부재는, 상기 상부 플레이트 및 상기 지지 부재 내에 이동성 지지 핀을 하우징하기 위해 상기 상부 플레이트 및 상기 지지 부재를 관통하여 형성된 하나 이상의 구멍들을 가지는,
    기판 지지 어셈블리.
  18. 제 17 항에 있어서,
    상기 하나 이상의 구멍들은 상기 이동성 지지 핀과의 마찰을 감소시키도록 세라믹 슬리브로 라이닝되는(lined),
    기판 지지 어셈블리.
  19. 기판을 지지 및 냉각하기 위한 방법으로서,
    기판 지지 어셈블리를 제공하는 단계
    ― 상기 기판 지지 어셈블리는,
    관통하여 배치된 하나 이상의 유체 통로들을 갖는 샤프트;
    상기 샤프트의 단부 상에 배치된 지지 부재 ― 상기 지지 부재는 상기 지지 부재의 상부 표면 및 상기 지지 부재의 하부 표면 내에 형성된 하나 이상의 유체 채널들을 갖고, 각각의 상기 유체 채널들은 상기 하나 이상의 유체 통로들과 유체 소통됨 ―; 및
    관통하여 형성된 복수의 홀들을 갖는 상부 플레이트 ―상기 상부 플레이트는 상기 복수의 홀들이 각각 상기 하나 이상의 유체 채널들 중 적어도 하나와 유체 소통되도록 상기 지지 부재의 상기 상부 표면 상에 배치됨 ―; 를 포함하고,
    기판을 결합시키기 위해 상기 상부 플레이트에 진공을 적용하는 단계 ― 상기 진공은 상기 샤프트 내에 형성된 상기 하나 이상의 유체 통로들을 통해 적용됨 ―;
    상기 지지 부재 내에 형성된 상기 하나 이상의 유체 채널들을 통해 상기 기판의 후면에 퍼지 가스를 유동하는 단계; 및
    상기 지지 부재의 하부 표면 내에 형성된 상기 유체 채널을 통해 냉각 매체를 유동시킴으로써 상기 기판을 냉각하는 단계
    를 포함하는 기판을 지지 및 냉각하기 위한 방법.
  20. 제 19 항에 있어서,
    상기 지지 부재의 외측 주변 둘레에 배치된 링 부재를 사용하여 상기 지지 부재의 외측 주변 둘레에 퍼지 가스를 유동하는 단계를 더 포함하는,
    기판을 지지 및 냉각하기 위한 방법.
KR1020110090796A 2004-02-26 2011-09-07 Feol 제조를 위한 인시튜 세정 챔버 KR101248182B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US54783904P 2004-02-26 2004-02-26
US60/547,839 2004-02-26
US54857404P 2004-02-28 2004-02-28
US60/548,574 2004-02-28

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020050015931A Division KR101148431B1 (ko) 2004-02-26 2005-02-25 Feol 제조를 위한 인시튜 세정 챔버

Publications (2)

Publication Number Publication Date
KR20110110747A KR20110110747A (ko) 2011-10-07
KR101248182B1 true KR101248182B1 (ko) 2013-03-27

Family

ID=45027168

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110090796A KR101248182B1 (ko) 2004-02-26 2011-09-07 Feol 제조를 위한 인시튜 세정 챔버

Country Status (1)

Country Link
KR (1) KR101248182B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11302517B2 (en) 2017-07-11 2022-04-12 Samsung Display Co., Ltd. Chemical vapor deposition apparatus and method of manufacturing display apparatus using the same

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112928012B (zh) * 2021-02-09 2023-11-14 北京北方华创微电子装备有限公司 半导体设备
CN114203511B (zh) * 2021-12-10 2024-04-12 北京北方华创微电子装备有限公司 上电极组件及半导体工艺设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0155601B1 (ko) * 1993-06-24 1998-12-01 이노우에 아끼라 진공처리장치
KR100236219B1 (ko) * 1994-05-18 1999-12-15 제임스 조셉 드롱 정전기력을 감소시키기 위한 패턴형 서셉터(patterned susceptor to reduce electrostatic force)
KR20010014064A (ko) * 1998-04-21 2001-02-26 조셉 제이. 스위니 단일 반도체 기판의 페이스업 처리용 전기화학 증착 셀
KR20010030222A (ko) * 1999-09-01 2001-04-16 조셉 제이. 스위니 엣지 증착을 방지하기 위한 방법 및 장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0155601B1 (ko) * 1993-06-24 1998-12-01 이노우에 아끼라 진공처리장치
KR100236219B1 (ko) * 1994-05-18 1999-12-15 제임스 조셉 드롱 정전기력을 감소시키기 위한 패턴형 서셉터(patterned susceptor to reduce electrostatic force)
KR20010014064A (ko) * 1998-04-21 2001-02-26 조셉 제이. 스위니 단일 반도체 기판의 페이스업 처리용 전기화학 증착 셀
KR20010030222A (ko) * 1999-09-01 2001-04-16 조셉 제이. 스위니 엣지 증착을 방지하기 위한 방법 및 장치

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11302517B2 (en) 2017-07-11 2022-04-12 Samsung Display Co., Ltd. Chemical vapor deposition apparatus and method of manufacturing display apparatus using the same
US11842883B2 (en) 2017-07-11 2023-12-12 Samsung Display Co., Ltd. Chemical vapor deposition apparatus and method of manufacturing display apparatus using the same

Also Published As

Publication number Publication date
KR20110110747A (ko) 2011-10-07

Similar Documents

Publication Publication Date Title
KR101192099B1 (ko) Feol 제조를 위한 인시튜 세정 챔버
JP5698719B2 (ja) 化学蒸着エッチングチャンバから副生成物の堆積物を除去するインサイチュチャンバ洗浄プロセス
US20060051966A1 (en) In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
WO2006069085A2 (en) An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
KR101248182B1 (ko) Feol 제조를 위한 인시튜 세정 챔버

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 5