KR0155601B1 - 진공처리장치 - Google Patents

진공처리장치 Download PDF

Info

Publication number
KR0155601B1
KR0155601B1 KR1019940014674A KR19940014674A KR0155601B1 KR 0155601 B1 KR0155601 B1 KR 0155601B1 KR 1019940014674 A KR1019940014674 A KR 1019940014674A KR 19940014674 A KR19940014674 A KR 19940014674A KR 0155601 B1 KR0155601 B1 KR 0155601B1
Authority
KR
South Korea
Prior art keywords
insulating layer
mounting
heating
processed
installation
Prior art date
Application number
KR1019940014674A
Other languages
English (en)
Other versions
KR950001879A (ko
Inventor
준이치 아라미
겐지 이시가와
요이찌 데구찌
히로노리 야기
노부오 가와다
이사오 야나기사와
Original Assignee
이노우에 아끼라
도꾜 일렉트론 가부시끼가이샤
마즈바 구니유끼
도꾜 일렉트론 도호꾸 가부시끼가이샤
가나가와 지히로
신에츠 가가꾸 고교 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP18550293A external-priority patent/JP3342118B2/ja
Application filed by 이노우에 아끼라, 도꾜 일렉트론 가부시끼가이샤, 마즈바 구니유끼, 도꾜 일렉트론 도호꾸 가부시끼가이샤, 가나가와 지히로, 신에츠 가가꾸 고교 가부시끼가이샤 filed Critical 이노우에 아끼라
Publication of KR950001879A publication Critical patent/KR950001879A/ko
Application granted granted Critical
Publication of KR0155601B1 publication Critical patent/KR0155601B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 가열장치에서의 열의 전달효율이 높고, 반도체웨이퍼등의 피처리체의 균열성 을 향상시킨 진공처리장치를 제공하고, 피처리체에 대한 처리의 균일성이 높은 진공처리장치를 제공하고, 진공중에 유지되는 처리실내에 정전척이나 히터의 급전용배선이 가능한 진공처리장치를 제공하고, 또한 이러한 진공처리장치에 이용되는 정전척 및 가열기능을 구비한 처리장치의 설치대를 제공하기 위한 것으로; 진공처리장치는 진공하에서 반도체 웨이퍼(W)의 성막처리를 하는 처리실과, 처리실내에 설치되어 피처리체를 설치하기 위한 설치면을 가지는 설치대와, 설치대의 설치면에 설치된 반도체 웨이퍼를 흡착시키기 위한 정전척과, 반도체 웨이퍼(W)를 가열하기 위한 가열기구와, 처리실에 반도체 웨이퍼에 대해 성막처리를 실행하기 위한 처리 가스를 공급하는 처리가스공급기구를 구비한다. 설치대는 기재와, 그 기재의 표면에 형성된 제 1 절연층과 제 1 절연층위에 설치된 제 2 절연층을 가지며, 설치대의 설치면측에 있어서의 제 1 절연층과 제 2 절연층과의 사이에 도전층을 가지며, 제 1 절연층과 제 2 절연층과 도전층에 의해 상술한 정전흡착수단을 구성하고, 가열기구는 설치대의 하면측에 있어서 제 1 절연층과 제 2 절연층과의 사이에 설치된 가열체를 가진다.

Description

진공처리장치
제1도는 본 발명의 제 1 실시예에 관한 낱장식의 냉수벽형 CVD 장치를 모식적으로 도시하는 단면도.
제2도는 제 1 장치 에 사용되는 반도체웨이퍼의 설치대의 구조를 설명하는 단면도.
제3도는 그 설치대를 도시하는 사시도.
제4도 및 제5도는 반도체웨이퍼 리프터의 주요부를 도시하는 도면.
제6도는 설치대의 변형예를 도시하는 단면도.
제7도는 존슨라베크(Johnson-Rahbeck)력에 의한 흡착원리를 설명하기 위한 모식도.
제8도는 정전척에 있어서 존슨라베크력이 작용하고 있는 상태를 모식적으로 도시하는 도면.
제9도는 정전척 절연층에 있어서의 체적고유저항과 정전기력과의 관계를 나타내는 도면.
제10도는 정전척 절연층의 표면조도를 변화시킨 경우에 있어서의 전극에 공급되는 전압과 누설전류와의 관계를 나타내는 그래프.
제11도는 정전척 절연층의 표면조도를 변화시킨 경우에 있어서의 전극에 공급되는 전압과 흡착력과의 관계를 나타내는 그래프.
제12도는 본 발명의 제 2 실시예에 관한 CVD 장치에 적용되는 반도체웨이퍼 설치대의 일례를 도시하는 단면도.
제13a도는 제12도의 설치대에 온도센서를 삽입하는 상태를 도시하는 단면도.
제13b도는 종래에 있어서 설치대에 온도센서를 삽입한 상태를 도시하는 단면도.
제14도는 제12도에 도시하는 설치대가 적용된 CVD 장치의 주요부를 나타내는 단면도.
제15도는 제14도 장치의 수평단면도.
제16도는 제12도에 도시하는 설치대에 설치된 중앙가열체 및 측부가열체의 제어계를 도시하는 블록도.
제17도는 설치대의 또 다른 예를 도시하는 단면도.
제18도는 본 발명의 제 3 실시예에 관한 CVD 장치를 모식적으로 도시하는 단면도.
제19도는 제18도의 장치에 있어서 설치대의 설치면 및 반도체웨이퍼의 복수 가열영역 을 모식적으로 도시하는 사시도.
제20도는 샤워 헤드에서 반도체웨이퍼로 처리가스를 공급할 때에 있어서의 가스유속의 분포를 도시하는 그래프.
제21도는 샤워 헤드에서 반도체웨이퍼로 처리가스를 공급할 때에 있어서의 농도경계층의 두께 분포를 도시하는 그래프.
제22도는 제18도 장치의 변형예를 도시하는 단면도.
제23도는 본 발명의 제 4 실시예에 관한 CVD 장치를 모식적으로 도시하는 단면도.
제24도는 제23도의 샤워헤드를 확대하여 나타내는 단면도.
제25도는 제23도의 샤워 헤드 저면도.
제26도는 샤워 헤드 직경이 다른 경우의 샤워 헤드에서 반도체웨이퍼로 처리가스를 공급할 때에 있어서의 가스유량의 분포를 도시하는 그래프.
제27도는 샤워헤드의 다른 예를 도시하는 단면도.
제28도는 본 발명의 제 5 실시예에 관한 CVD 장치를 도시하는 단면도.
제29도는 제28도의 설치대에 설치된 급전부의 위치를 도시하는 모식도.
제30도는 제28도의 급전부를 확대하여 도시하는 단면도.
제31a도~제31c도는 급전부의 리셉터클(receptacle)단자에 있어서의 표면처리의 공정 을 설명하기 위한 단면도.
제32도는 리셉터클단자의 다른 예를 나타내는 도면.
제33도는 급전부의 플래그단자의 구조를 설명하기 위한 사시도.
제34도는 리셉터클단자의 열림부의 깊이 및 직경을 변화시킨 경우에 있어서의 진공도와 방전개시전압과의 관계를 도시하는 도면.
제35도는 단극타입의 정전척을 탑재한 설치대를 도시하는 단면도.
제36도는 제18도의 장치에 제23도의 샤워헤드를 내장한 CVD 장치를 도시하는 단면도이다.
* 도면의 주요부분에 대한 부호의 설명
2, 105, 202 : 처리실 21. 60, 109, 231 : 설치대
22 : 기재 23 : 제 1 절연층
27 : 제 2 절연층 302 : 리셉터클단자
302C : 접속점 302D : 도전층
304 : 플래그단자 W : 반도체웨이퍼
I, 302B : 절연층
본 발명은 진공하에 피처리체에 대해 성막(成膜) 및 에칭 등의 처리를 하기 위한 진공처 리장치에 관한 것이다.
반도체웨이퍼 제조공정 에 있어서, 반도체웨이퍼의 표면에 절연막이나 실리콘의 박막을 형성하는 박막형성장치로서 낱장식 CVD 장치가 사용되고 있다. 이와 같은 낱장식 CVD 장치는 진공분위기에서 성막을 하기 위한 기밀한 처리실을 갖고 있고 그 안에는 웨이퍼를 보유하기 위 한 설치대가 설치되어 있다. 그리고 이 설치대의 상면에는 정전기력을 이용하여 반도체웨이퍼를 흡착하기 위한 정전척이 설치되어 있다.
이와 같은 정전척은 얇은 도전체의 상하면을 절연층으로 끼운 구조를 갖고 있고, 상기 반도체에 직류전압을 인가했을 때에 발생하는 쿨롬(coulomb)력 또는 존슨라베크(Johnson-Rahbeck)력에 의하여 웨이퍼를 흡착 보유한다.
그런데 CVD 장치에 있어서는 성막의 균일성을 확보하는 의미에서 피처리체의 면내균일성, 즉 면내에서의 온도분포의 균일성이 요구된다. 그래서 종래는 상기 처리실내에 피처리체를 적 당한 온도로 가열하기 위한 가열장치가 설치되어 있다. 이 가열장치로서는 상기 정전척의 하면측에 정전척과는 격리한 위치에 배치되고, 가열장치의 발열체 열이 방사에 의해 상측 정전척으로 전달되도록 하는 것이 사용되고 있다.
그렇지만 그렇게 정전척과 가열장치를 격리하여 설치하고 가열장치의 방사열에 의해 정 전척상의 웨이퍼를 가열하면 다음과 같은 문제가 발생한다.
먼저 가열장치에서의 열을 방사에 의해 전달시키고 있으므로 전달효율이 그다지 좋지 않다. 더구나 통상 이런 종류의 설치대는 예를 들면 10-6Torr의 진공분위기로 설정되어 있는 기 밀한 처리실내에 설치되어 있으므로 처리실내에 설치하면 더욱 열전달효율이 저하하므로 가열장치를 처리실외부의 대기중에 설치해야만 한다.
또 전달효율을 개선한 것으로서 피처리체의 설치면에 예를 들면 나선형상의 발열저항체 에 의하여 가열하는 것이 있다. 그러나 이 경우에는 발열체가 피처리체로서의 반도체웨이퍼에 근접하고 있으므로, 발열체의 배치패턴의 영향이 그대로 웨이퍼상에 발현해 버리고, 그것에 기인하는 온도분포의 불균일에 의해 균일한 처리를 할 수가 없다고 하는 문제가 있다. 상기 CVD 장치에 있어서 이와 같은 온도분포의 불균일이 발생하면 균일한 막을 형성할 수 없다.
그리고 정전착이 탑재된 설치대와 가열장치를 별개의 것으로 하고 있으므로 부재수가 많고 어셈블리시간도 길기 때문에 그에 따른 비용 상승을 피할 수가 없다.
그런데 낱장식 CVD 장치에 있어서는 피처리체인 반도체웨이퍼상에 박막을 균일하게 성장시킬 필요가 있고, 그로인해 반도체웨이퍼 표면전체에 균일하게 반응성처리가스를 공급하는 것이 요구된다. 그러므로 종래부터 반도체웨이퍼의 표면전체에 반응성처리가스를 균일하게 공급하기 위해 가스취출(吹出)면에 복수의 구멍이 균일한 간격으로 천공된 샤워헤드가 처리실의 정상부에 설치되어 있다.
종래의 샤워헤드에 의하여 그 샤워헤드의 피처리체에 대향하는 전체면에서 균일하게 처리가스를 내뿜은 경우에는 처리가스의 유량이 피처리체의 중앙부에서 단부를 향해 유량이 증가하는 경향을 볼 수 있다. 그러므로 처리가스의 농도경계층의 두께는 반도체웨이퍼의 중앙부만큼 두꺼워지고 단부만큼 얇게 된다. 그 결과 샤워헤드의 가스 취출면에서 처리가스를 균일하게 처리실내에 공급하였다고 하더라도 반드시 반도체웨이퍼의 반응표면에 처리가스를 균일한 농도로 분포시키는 것은 곤란하고, 따라서 성막비가 불균일하게 될 우려가 있다.
한편 정전척에는 고압전원에서의 급전이 필요하게 되고 또 피처리체를 가열하기 위한 발열체에는 대전력, 소위 고전류를 인가할 수 있는 전원에서의 급전이 필요하게 되지만, CVD 제막(製膜)장치는 상술한 바와 같이 진공분위기에서 처리를 하기 때문에, 반도체 사이에서의 방전이 발생하기 쉽게 된다. 더구나 히터에 접근하고 있는 곳에서의 배선노출부에서는 금속증기의 발생에 의하여 피처리체로의 중금속 오염이 발생하는 일이 있다. 이 때문에 배선은 대기중에서 행해야만 한다. 따라서 배선은 진공분위기와의 차단구조를 필요로 하므로 구조가 복잡해진다. 더구나 차단구조는 피처리체의 가열온도분위기에 접하게 되고 내구성을 유지할 수 없게 될 우려도 있다. 그러므로 차단 구조도 복잡한 것이 될 뿐만 아니라 이와 같은 구조를 필요로 하는만큼 비용이 많아지게 된다.
본 발명의 목적은 가열장치에서의 열의 전달효력이 높고, 반도체웨이퍼 등 피처리체의 균열성(均熱性)을 향상시킨 진공처리장치를 제공하는 데에 있다.
본 발명의 다른 목적은 피처리체에 대한 처리의 균일성이 높은 진공처리장치를 제공하는 데에 있다.
본 발명의 또 다른 목적은 진공중에 보유되는 처리실내에 정전척이나 히터의 급전용배선이 가능한 진공처리 장치를 제공하는 데에 있다.
본 발명의 또 다른 목적은 이와 같은 진공처리장치에 사용되는 정전척 및 가열기능을 구비한 피처리체의 설치대를 제공하는데에 있다.
본 발명의 제 1 관점에 의하면 진공하에서 피처리체의 처리를 하는 처리실과;
상기 처리실내에 설치되어 상기 피처리체를 설치하기 위한 설치면을 갖는 설치부재와;
상기 설치부재의 설치면에 설치된 상기 피처리체를 흡착시키기 위한 정전흡착수단과;
상기 피처리체를 가열하기 위한 가열수단과;
상기 처리실에 피처리체를 처리하기 위한 처리가스를 공급하는 처리 가스공급수단을 구비하고,
상기 설치부재는 기재와 그 기재의 표면에 형성된 제 1 절연층과 제 1 절연층상에 설치 된 제 2 절연층을 갖고,
상기 설치부재의 상기 설치면 측에 있어서의 제 1 절연층과 제 2 절연층 사이에 도전층을 갖고, 상기 제 1 절연층과 상기 제 2 절연층과 상기 도전층에 의해 상기 정전흡착수단을 구성 하고,
상기 가열수단은 상기 설치부재의 설치면과 반대측의 면측에 있어서의 제 1 절연층과 제 2 절연층 사이에 설치된 가열체를 갖는 진공처리장치가 제공된다.
본 발명의 제 2 관점에 의하면, 진공하에서 피처리체의 처리를 하는 처리실과;
상기 처리실내에 설치되어 상기 피처리체를 설치하기 위한 설치면을 갖는 설치부재와;
상기 설치부재의 설치면에 설치된 상기 피처리체를 횹착시키기 위한 정전흡착수단 과;
상기 피처리체를 가열하기 위한 가열수단과;
상기 처리실에 피처리체를 처리하기 위한 처리가스를 공급하는 처리 가스공급수단을 구비하고,
상기 설치부재는 절연성의 기재와 그 기재의 표면에 형성된 절연층을 갖고,
상기 설치부재의 상기 설치면 측에 있어서의 기재와 절연층과의 사이에 도전층을 갖고, 상기 기재와 상기 절연층과 상기 도전층에 의해 상기 정전흡착수단을 구성하고,
상기 가열수단은 상기 설치부재의 설치면과 반대측 면측에 있어서의 기재와 절연층 사이 에 설치된 가열체를 갖는 진공처리 장치가 제공된다.
본 발명의 제 3 관점에 의하면 진공하에서 피처리체의 처리를 하는 처리실과;
상기 처리실내에 설치되어 상기 피처리체를 설치하기 위한 설치면을 갖는 설치부재와;
상기 피처리체를 가열하기 위한 가열수단과;
상기 처리실에 피처리체를 처리하기 위한 처리가스를 공급하는 처리 가스공급수단을 구비하고,
상기 설치부재는 기재와 그 기재의 표면에 형성된 절연층을 갖고,
상기 가열수단은 상기 설치부재의 기재 설치면과 반대측 면에 소정간격으로 설치된 나선형상 또는 동심형상의 가열체를 갖고,
상기 기재의 두께는 상기 가열체의 간격보다 크게 설정되어 있는 진공처리장치가 제공 된다.
본 발명의 제 4 관점에 의하면 진공하에서 피처리체의 처리를 하는 처리실과;
상기 처리실내에 설치되어 상기 피처리체를 설치하기 위한 설치면을 갖는 설치부재와;
상기 피처리체를 가열하기 위한 가열수단과;
상기 처리실에 피처리체를 처리하기 위한 처리가스를 공급하는 처리 가스공급수단을 구 비하고.
상기 가열수단은 상기 설비부재의 설치면과 반대측 면에 설치된 중앙가열체와 상기 설치부재의 측부에 설치된 측부가열체를 갖는 진공처리장치가 제공된다.
본 발명의 제 5 관점에 의하면 진공하에서 피처리체의 처리를 하는 처리실과;
상기 처리실내에 설치되어 상기 피처리체를 설치하기 위한 설치면을 갖는 설치부재와;
상기 설치부재의 설치면에 설치된 상기 피처리체를 흡착시키기 위한 정전흡착수단과;
상기 처리실에 피처리체를 처리하기 위한 처리가스를 공급하는 처리 가스공급수단을 구비하고,
상기 정전흡착수단은 고전압이 인가되는 전극과; 전극과 피처리체사이에 끼워 장착되는 절연층을 갖고,
상기 절연층은 상기 피처리체의 처리중에 체적 고유저항이 106∼ 1012Ω·cm이고, 그 흡착면의 표면조도(Ra)가 0.2∼0.3㎛인 진공처리 장치가 제공된다.
본 발명의 제 6 관점에 의하면 진공하에서 피처리체의 처리를 하는 처리실과;
상기 처리실내에 설치되어 상기 피처리체를 설치하기 위한 설치면을 갖는 설치부재와;
상기 피처리체를 가열하기 위한 가열수단과;
상기 가열수단을 제어하기 위한 제어수단과;
상기 처리실에 피처리체를 처리하기 위한 처리가스를 공급하는 처리 가스공급수단을 구 비하고,
상기 가열수단은 상기 설치부재의 설치면에 동심형상으로 형성되는 복수의 영역에 대응하도록 상기 설치부재에 설치된 복수의 가열체를 갖고,
상기 제어수단은 이들 가열체의 출력과 개별적으로 제어하는 진공처리장치가 제공된다.
본 발명의 제 7 관점에 의하면 진공하에서 피처리체의 처리를 하는 처리실과;
상기 처리실내에 설치되어 상기 피처리체를 설치하기 위한 설치면을 갖는 설치부재와;
상기 피처리체를 가열하기 위한 가열수단과;
상기 처리실에 피처리체를 처리하기 위한 처리가스를 공급하는 처리 가스공급수단과;
처리가스공급수단에서의 처리가스공급량을 제어하기 위한 제어수단을 구비하고,
상기 처리가스공급수단은 상기 피처리체에 대향하여 설치되고, 동심형상으로 구획된 복수의 가스토출(吐出)영역을 갖는 처리가스도입 부재를 갖고,
상기 제어수단은 각 영역에서의 가스토출량을 개별적으로 제어하는 진공처리장치가 제공된다.
본 발명의 제 8 관점에 의하면 진공하에서 피처리체의 처리를 하는 처리실과;
상기 처리실내에 설치되어 상기 피처리체를 설치하기 위한 설치면을 갖는 설치부재와;
피처리체의 처리시에 전력이 공급되는 피급전부와;
밑면이 있는 열림부와, 그 기단측에 설치된 접속점과, 그 열림단측에 형성된 절연피복(被覆)을 갖고, 상기 피급전부에 전기적으로 접속되는 리셉터클단자와;
그 리셉터클단자에 압입가능하고 압입되었을 때에 상기 접속점에 접촉하는 플래그단자를 구비하는 진공처리장치가 제공된다.
본 발명의 제 9 관점에 의하면, 피처리체를 설치하기 위한 설치면을 갖는 설치부재와;
상기 설치부재의 설치면에 설치된 상기 피처리체를 흡착시키기 위한 정전흡착수단과;
상기 피처리체를 가열하기 위한 가열수단을 구비하고;
상기 설치부재는 기재와 그기재의 표면에 형성된 제 1 절연층과 제 1 절연층상에 설치된 제 2 절연층을 갖고,
상기 설치부재의 상기 설치면측에 있어서의 제 1 절연층과 제 2 절연층 사이에 도전층을 갖고, 상기 제 1 절연층과 상기 제 2 절연층과 상기 도전층에 의해 상기 정전흡착수단을 구성 하고,
상기 가열수단은 상기 설치부재의 설치면과 반대측 면측에 있어서의 제 1 절연층과 제 2 절연층 사이에 설치된 가열체를 갖는 정전흡착기능 및 가열기능을 갖는 설치대가 제공된다.
본 발명의 제 10 관점에 의하면 피처리체의 설치하기 위한 설치면을 갖는 설치부재와;
상기 설치부재의 설치면에 설치된 상기 피처리체를 흡착시키기 위한 정전흡착수단과;
상기 피처리체를 가열하기 위한 가열수단을 구비하고,
상기 설치부재는 절연성의 기재와 그 기재의 표면에 형성된 절연층을 갖고,
상기 설치부재의 상기 설치면 측에 있어서의 기재와 절연층 사이에 도전층을 갖고, 상기 기재와 상기 절연층과 상기 도전층에 의해 상기 정전흡착수단을 구성하고,
상기 가열수단은 상기 설치부재의 설치면과 반대측 면측에 있어서의 기재와 절연층 사이 에 설치된 가열체를 갖는 정전흡착기능 및 가열기능을 갖는 설치대가 제공된다.
또한 본 발명에 있어서 진공처리란 상압(上壓)보다 낮은 압력에서의 처리가 모두 포함되는 것을 의도하는 것이다.
이하 첨부도면을 참조하여 본 발명의 실시예에 대하여 상세히 설명한다.
제1도는 본 발명의 제 1 실시예에 관한 낱장식의 냉수벽형 CVD 장치를 모식적으로 나타내는 단면도이다. 이 CVD 장치(1)는 기밀하게 구성된 대략 원통형상의 처리실(2)을 갖고 있고, 그 안에는 피처리체인 반도체웨이퍼(W)를 설치하기 위한 설치대(21)가 설치되어 있다.
이 처리실(2)의 상면에는 중공(中空)의 원반형상을 이루는 샤워헤드(3)가 기밀하게 설치되어 있다. 이 샤워헤드(3)의 상부에는 처리가스도입관(4)이 설치되어 있고, 또 그 설치대(21)에 대향하는 면에는 다수의 토출구(5)가 설치되어 있다. 처리가스도입관(4)은 가스공급원(4a)에 접속되어 있고, 이 가스공급원(4a)에서 처리가스 예를 들면 SiH4(실란)와 H2와의 혼합가스가 처리가스도입관(4)을 통과하여 샤워헤드(3)의 중공부에 이르고, 상기 다수의 토출구(5)를 통하여 처리실(2) 내의 설치대(21)를 향해 균등하게 토출된다.
상기 처리실(2)의 저부근방에는 진공펌프등의 배기수단(6)에 통하는 배기관(7)이 설치되고, 그 배기수단(6)의 작동에 의해 상기 처리실(2)은 소정의 감압분위기, 예를 들면 10-6Torr에 보유가능하게 되어있다.
상기 처리실(2)의 저부는 대략 원통형상의 지지체(8)에 의해 지지된 저판(9)에 의해 구성되어 있다. 이 저판(9)의 내부에는 냉각수저장부(10)가 설치되어 있고, 냉각수공급원(11)에서 냉각수파이프(11a)를 통해 냉각수가 이 냉각수저장부(10)에 공급되고, 도시하지 않은 배출파이프에서 냉각수저장부(10)의 냉각수가 배출되어 냉각수가 냉각수저장부(10) 내를 순환한다.
상기 설치대(21)는 저판(9)의 상면에 설치되어 있고, 그 측면외주는 통형상의 칸막이 벽(37)으로 둘러싸여 있다. 이 설치대(21)는 제2도, 제3도에 도시하는 바와 같이 기재(22)와 이 기재(22)의 표면을 덮도록 설치된 제 1 절연층(23)과 이 제 1 절연층(23)의 상면에 설치된 얇은 도전체(24, 25)와, 상기 제 1 절연층(23)의 하면에 직접 설치된 히터(26)와 제 1 절연층(23), 전극(24, 25) 및 히터(26)를 덮도록 가장바깥층에 형성된 제 2 절연층(27)에 의해 구성 되어 있다.
상기 기재(22)는 예를 들면 직경이 280mm이고 일정한 두께를 갖는 대략 원판형상의 형태를 가지며, 예를 들면 C(탄소)나 BN(질화붕소)등으로 구성되어 있다. 이 기재(22)의 표면에 설치된 제 1 절연층(23)은 CVD 처리에 의해 형성된, 예를 들면 P-BN(열분해성 질학붕소), SiO4(산화실리콘), AIN(질화알루미늄), AI2O3(알루미나) 또는 SiN(질화규소) 등의 얇은 피막에 의해 구성되어 있다.
제 1 절연층(23)의 상면에 설치된 상기 도전체(24, 25)는 제3도에 도시하는 바와 같이 각각 대략 반원형상을 가지며, 상기 처리실(2) 외부에 설치되어 있는 서로 극성이 다른 직류고전압원(28, 29)에 각각 독립하여 접속되어 있고, 제 1 절연층(23), 제 2 절연층(27) 및 전극(24, 25)에 의해 소위 쌍극형의 정전척(S)이 구성된다.
한편 제 1 절연층(23)의 하면에 설치된 상기 히터(26)는 대략 띠형상의 발열체(26a)를 적당한 간격(반경방향의 간격)(d)을 갖고 나선형상으로 배설한 발열패턴을 지니며, 상기 처리실(2) 외부에 설치되어 있는 교류전원(30)에 의해 소정의 온도, 예를 들면 400℃~1200℃의 임의온도의 열을 발생한다. 또한 적당한 간격을 갖고 동심형상으로 복수의 발열체를 배치해도 된다.
이들 도전체(24, 25)나 상기 히터(26)의 표면을 피복(被覆)하고 있는 제 2 절연층(27)은 제 1 절연층(23)과 동일, CVD 처리에 의해서 형성된 예를 들면 P-BN(열분해성 질화붕소), SiO4(산화실리콘), AIN(질화알루미늄), AI2O3(알루미나) 또는 SiN(질화규소)등의 얇은 피막에 의해 구성되어 있다.
상기 기재(22)의 두께(높이)(d)는 상기 히터(26)에 있어서의 발열체(26a)의 간격(d)보다 크게 설정되어 있고, 예를 들면 20-40mm의 두께를 갖고 있다.
이와 같이 구성되는 설치대(21)는 상술한 바와 같이 처리실(2)의 저판(9)의 위에 설치되어 있고, 그리고 제1도에 도시한 바와같이 그 중심부에 저판(9)을 관통한 전열매체공급관(31)과 이 전열매체공급관(31)과 통하는 유로(32)가 설치되고, 처리실(2) 외부에서 상기 전열매체공급관(31)을 통해 공급된 전열매체, 예를 들면 He가스가 설치대(21)에 설치된 웨이퍼(W)의 이 면에 공급된다.
또 설치대(21)에는 온도센서(33)가 설치되어 있다. 이 온도센서(33)는 기재(22) 안에 설치된 검지부(34)와 측정부(5)를 구비하고 있고, 검지부(34)에서의 신호에 의거하여 측정부(35)에서 순서대로 온도가 측정되고, 측정부(35)에서의 신호가 제어기(36)에 입력된다. 그리고 이 온도센서(33)에서의 신호에 의거하여, 제어기(36)에서 히터(26)의 전원(30) 및 냉각수공급원(11) 등에 제어신호가 출력되며, 히터(26)의 출력 및 냉각수의 유량등이 조절되므로써 설치대(21)의 설치면 온도가 소정값으로 제어된다.
처리실(2) 내에는 피처리체로서의 반도체웨이퍼(W)를 설치대(21)에서 들어올리고 또는 설치대(21)로 내려뜨리기 위한 리프터(41)가 설치되어 있다. 이 리프터(41)는 한쌍의 설치부재(42a, 42b)와, 이들 설치부재(42a, 42b)를 구동하기 위한 한쌍의 구동기구(43a, 43b)와 설치부재(42a, 42b)를 각각 구동기구(43a, 43b)에 지지하기 위한 한쌍의 지지봉(44a, 44b)을 구비하고 있다.
구동기구(43a, 43b)는 예를 들면 모터 또는 실린더로 구성되고, 처리실(2)의 아래쪽에 설치되어 있고, 지지봉(44a, 44b)은 칸막이벽(37), 저판(5)의 측면 및 지지체(8)의 측면과 처리실(2)의 측벽(2a)에 의해 형성되는 고리형상의 공간(2b) 내를 수직방향으로 뻗어 있다.
이 리프터(41)의 설치부재(42a,42b)는 제4도에 도시하는 바와 같이 웨이퍼(W)의 곡율(曲率)에 적합한 반고리형상을 나타내고 있고, 각 설치부재(42a, 42b)의 내주(內周)에는 각각 원호형상의 걸림부(45a, 45b)가 돌출설치되어 있다. 그리고 웨이퍼(W)는 그 주변가장자리부가 이들 걸림부(45a, 45b)에 걸려진 형태이고 설치부재(42a, 42b)에 의해 지지된다.
또 리프터(41)는 예를 들면 제5도에 도시하는 바와 같이, 한쌍의 반고리형상 설치부재(42a, 42b)의 내주에 각각 걸림돌기(46a, 46b)를 여러군데 설치한 구성으로 해도 된다.
그리고 상기 지지용(44a, 44b)는 제1도에 도시하는 바와 같이 상술한 고리형상의 공간(2b) 저부에 기밀하게 폐색하는 고리형상의 지지판(47)을 관통하여 구동기구(43a, 43b)에 접 속되어 있고, 그 구동기구의 작동에 의하여 상하운동한다.
또 상기 지지판(47)에 있어서의 지지봉(44a, 44b)의 관통장소에는 각각 벨로스(47a, 47b)가 끼워져 있고 이들 벨로스(47a, 47b)에 의해 처리실(2) 내의 기밀성이 확보된다.
이상과 같이 구성되어 있는 처리실(2)의 옆쪽에는 게이트밸브(51)를 끼워 기밀하게 구성된 로드잠금실(52)이 설치되어 있고, 그 내부가 그 저부에 설치된 배기관(53)에서 진공흡인되고, 이 로드잠금실(52)내도, 상기 처리실(2)과 마찬가지로 소정의 감압분위기 예를들면 10-6Torr로 유지가능하게 되어 있다.
로드잠금실(52)의 내부에는 역시 게이트밸브를 끼워 인접하고 있는 카세트수납실(도시하지 않음)내의 카세트와 상기 처리실(2)내의 설치대(21) 사이에서 반도체(W)를 반송시키는 반송암(54)을 구비한 반송장치(55)가 설치되어 있다.
다음에 이와 같이 구성된 CVD 장치의 동작에 대해서 설명한다. 먼저 로드잠금실(52)을 배기하고 그속의 압력이 처리실(2)내의 압력과 거의 동일하게된 시점에서 게이트밸부(51)가 개방되고, 성막처리되는 웨이퍼(W)가 반송장치(55)의 반송암(54)에 의해 처리실(2)내의 설치대(21) 상방까지 반입된다.
이때, 리프터(41)의 설치부재(42a, 42b)는 상승하고 있고 웨이퍼(W)는 이들 각 설치부재(42a, 42b)의 걸림부(45a, 45b) 위에 설치된다. 그후 반송암(54)은 로드잠금실(51)내로 후퇴 하고 게이트밸브(51)는 폐쇄된다.
그후 설치부재(42a, 42b)는 하강되고, 웨이퍼(W)는 설치대(21) 위에 설치된다. 그리고 상술한 고압직류전원(28, 29)에서의 직류전압을 도전체(24, 25)에 인가하므로써 정전척(S)에 발생하는 쿨롬력 또는 존슨라베크력에 의해 웨이퍼(W)가 설치대(21) 위체 흡착보유되고, 전열 매체(He가스)가 웨이퍼(W) 이면에 소정의 압력(예를 들면 10∼50Torr)으로 골고루 미친다.
그후 고온으로 유지되고 있는 히터(26)에 의한 가열에 의해 웨이퍼(W)가 소정온도, 예를 들면 800℃까지 가열됨과 동시에 가스공급원(4a)에서 처리가스도입관(4)을 통과하여 처리가스, 예를 들면 SiH4(실란)과 H2와의 흔합가스를 처리실(2)내에 도입하여 웨이퍼(W) 성막처리 가 개시된다.
이경우 히터(26)의 발열체(26a) 열은 기재(22)를 끼워 접촉에 의해 웨이퍼(W)에 직접 전달되므로 종래의 방사에 의한 전달보다 열전달효율이 향상하고 있으며, 그 결과 종래보다도 낮은 파워로 동일한 온도까지 웨이퍼(W)를 가열할 수 있다.
그러나 상술한 바와 같이, 기재(22)의 두께(높이)(D)는 히터(26)에 있어서의 발열체(26a)의 간격(d)보다도 크게 설정되어 있으므로, 나선형성으로 형성되어 있는 발열체(26a)의 패턴의 영향이 웨이퍼(W)에 미치게 될 우려가 없고, 웨이퍼(W)를 균일하게 가열하는 것이 가능하다. 따라서 웨이퍼(W)에 대하여 균일한 성막처리를 실시하는 것이 가능하다.
또한 상기 히터(26)는 설치대(21)내에 내장되어 일체화되어 있으므로 종래보다 부재수를 저감시킬 수 있고, 고 가열구조를 포함하여 모든 부품을 처리실(2)내에 설치할 수 있다. 따라서 어셈블리도 용이하고 상술한 부재수의 저감과 함께 비용을 저하시키는 것이 가능하다.
또 설치대(21)의 외측에 형성된 제 1 절연층(23), 제 2 절연층(27)은 모두 CVD 처리 에 의하여 형성된 것이므로 그 층의 두께가 상당히 균일화되어 있고, 이점에서도 웨이퍼(W)의 균일성이 높다. 그 재질로서 P-BN, SiO2, AIN, Al2O3또는 SiN 등을 사용한 경우에는 이들이 내열성이 뛰어나므로 히터(26)을 직접 붙이도록 설치하여도 열적으로 아무런 지장이 없다. 또 이와 같이 구성 된 설치대(21)는 내구성에도 뛰어나다.
또한 설치대(21)로서는 제2도에 도시된 구조에 한정되지 않고 기재(22)가 절연성이 있는 것이라면, 제6도에 도시하는 바와 같이 제 1 절연층(23)을 생략하고 기재(22)의 표면에 위 에 제 2 절연층을 형성하도록 하여도 된다. 이와 같은 구조의 설치대(21)의 예로서는 기재(22)로서 BN을 사용하고, 제 2 절연층(27)으로서 P-BN, SiO2, AIN, Al2O3또는 SiN를 사용하는 것을 들 수 있다. 이와 같은 구조로 하므로써 한층 내구성이 강한 것이 된다.
또 웨이퍼(W)의 균일성, 또 보다 정밀한 온도제어를 가능하게 하기위해, 예를 들면 설치대(21)내의 기재(22)내에 적당한 냉각매체의 순환로를 형성하여도 된다.
그런데 상기 정전척(S)은 상술한 바와 같이 쿨롬력 또는 존슨라베크력에 의해 웨이퍼(W)를 흡착한다. 그리고 상술한 제 1 절연층(23)의 체적 고유저항이 대 략 1014Ω·Cm이상이 면 쿨롬력에 의한 정전흡착력이 발생하고, 대 략 1014Ω·cm미만일 때에 존슨라베크력에 의한 정전흡착력이 발생한다.
여기서 존슨라베크력에 의한 정전척에 대해 제7도를 참조하면서 설명한다. 도시하는 바와 같이 절연층(I)의 표면 및 그 위에 설치된 반도체웨이퍼(W)의 설치면에는 미시적으로는 요철이 존재하고 양자가 접촉하고 있는 접촉부와 비접촉이 무작위로 존재한다고 생각된다. 여기서 절연층(I)의 체적 고유저항값이 1014Ω·㎝미만으로 그다지 크지 않을 경우에, 이 절연층(I)을 끼워 웨이퍼(W)에 전류(i)를 흐르게 하면 절연층(I)과 반도체웨이퍼(W)와의 접촉점에 있어서 접촉저항(Re)때문에 국부적으로 큰 전위하강이 생기고 그 양쪽에 존재하는 아주 작은 간격을 갖는 부분에는 절연층(1)과 웨이퍼(W)와 서로 대향하는 면(일종의 콘덴서를 형성)에 플러스·마이너스의 전위가 축적되어 현저히 높은 전압계를 발생하고, 그 강력한 맥스웰의 변형력 결과, 전기적 흡착력이 발생한다. 이와 같은 효과를 존슨라베크효과라고 하며, 이때에 생기는 전기적 흡착력을 존슨라베크력이라고 한다.
이 존슨라베크력은 제8도에 모식적으로 도시하는 바와 같이 접촉저항(Rc)에 기인하는 전위강하(V')의 함수로서 표현된다.
여기서 인가전압을 V, 절연층의 체적고유저항을 Rs, 위이퍼와 절연층과의 거리를 d', 위이피와 전극과의 거리를 d라고 하면 상술한 전위 하강(V')은
V'=V·Rc/(Rc +Rs)
로 표현되고, 존슨라베크력(F)은
F = (1/8π)·(V'/d')2
로 표현된다.
제9도는 알루미나를 경면 완성시킨 샘플(1), 절삭한 상태의 샘플(2) 및 조면 완성시킨 샘플(3)을 절면층으로 하여 사용하고 이들의 온도를 변화시키므로써 체적고유저항을 변화시키고 그 때의 정전기력을 구상한 그래프이다.
이 도면에서 체적 고유저항이 1014Ω·CR이상에서는 정전기력이 작지만 1014Ω·cm 이 하에서는 정전기력이 커지게 되는 것을 알 수 있다. 이것은 다음과 같은 이유에 의한다. 절연층의 체적 고유저항(Rs) 및 접 촉저항(Rc)으로 구성되는 직렬저항중 Rs가 지배적으로 되기 때문에 V'의 값이 작아지게 되고, 따라서 정전기력이 작아지게 된다.
이에 대해서 1011Ω·cm이하에서는 Rc가 지배적으로 되어 정전기력이 커진다. 그리고 이들의 중간영역에서는 이들이 맞버티고 있으므로 정전기력은 중간적인 값이 된다.
일반적인 세라믹스 등의 절연체는 온도를 상승시키면 그 체적 고유저항이 지수함수적으로 저하하는 것이 알려져 있고 예를 들면 CVD 장치 등에 있어서 가열장치에 의해 피처리체인 반도체웨이퍼(W)를 가열할 경우에는 필수적으로 절연체의 온도가 상승하여 그 체적 고유저항이 저하한다. 따라서 이와 같은 온도상승에 의해 체적 고유저항이 1011Ω. cm이하가된 경우에는 제 9도에 도시하는 바와 같이 존슨라베크력에 의거하는 정전기력이 상승하고 그에 따라서 절연층과 웨이퍼 사이에 흐르는 누설전류도 상승하고, 웨이퍼상에 형성되어 있는 반도체회로를 파괴할 우려가 있다.
이와 같이 체적고유저항이 낮은 경 우에 누설전류를 작게하기 위해서는 Rc를 높게하면 되고, 그를 위해서는 절연층의 표면조도를 어느정도 크게 하면 된다. 즉 누설전류를 작게 하기 위 해서는 절연층의 표면고도를 크게 하면 된다.
제10도는 절연층으로서 체적 고유저항이 1011Ω·cm 이하의 SiC(두께 1mm, 표면조도 Ra;0.24 및 0.90)을 사용하고, 제8도의 상태에서 상온에 있어서 인가전압(V)을 변화시킨 경우의 누설전류 값을 구상한 것이다. 이 도면에 도시한 바와 같이 표면조도(Ra)가 거친쪽이 누설전류가 작아지는 것을 알 수 있다.
한편 제11도에 도시하는 바와 같이 흡착력은 표면조도가 작은쪽이 커지는 것을 알 수 있다.
이와 같이 누설전류와 정전흡착력(정전기력)과는 상반하는 것이므로, 절연층의 체적 고유저항이 낮은 경우에 절연층의 표면조도를 적당한 흡착력을 가지면서도 누설전류가 작아지게 하는 값으로 할 필요가 있다.
구체적으로는 절연층의 체적 고유저항이 106∼1012Ω·㎝인 경우에 절연층의 표면조도(Ba)를 0.2∼3.1(단위;㎛)의 범위로 조절하므로써 적당한 흡착력을 가지면서 누설전류를 작게 할 수 있다.
절연층의 체적고유저항이 106Ω·㎝ 미만인 경우에는 표면조도를 상술한 범위로 하여도 누설전류를 충분히 작은 것으로 할 수가 없고, 1012Ω·㎝를 초과한 경우에는 누설전류의 영향이 작으므로 이와 같은 것을 고려할 필요도 없다. 보다 유효한 것은 절연층의 체적고유저항이 1010-1011Ω·cm의 경우이다.
절연층의 표면조도(Ra)가 0.2 미만에서는 누설전류를 유효하게 줄일 수가 없고, Ra가 3.1을 초과하면 정전흡착력이 너무 작아지게 된다. 바람직한 표면조도(Ra)는 0.8~1.0이다.
또한 이와 같은 조건설정은 절연층이 가열되어 그 체적고유저항이 상기 범위가 된 경우에도 강온에서 체적고유저항이 상기 범위인 재질을 절연층으로서 사용하는 경우에도 적용가능하다. 이 경우의 절연층의 재료로서는 상술한 P-BN, SiO2, AIN, Al2O3, SIN등을 사용할 수 있고, 그리고 체적고유저항이 이들보다 낮은 SiC도 사용할 수 있다.
다음에 본 발명의 제 2 실시예에 대해 설명한다.
이 실시예에 관한 CVD 장치는 설치대 이외는 거의 제 1 실시예와 동일하게 구성되어 있다.
제12도는 이 실시예에 사용되는 설치대(60)를 도시하는 단면도이다. 설치대(60)는 예를 들면 질화붕소(BN)에 의해 구성되어 있고, 상면에 피처리체로서의 반도체웨이퍼(W)를 설치하기 위한 설치면(60A)이 형성되어 있다. 도시하지 않지만 설치면(60A)에는 제 1 실시예와 동일한 정전척이 배치되고, 이것에 의해 반도체웨이퍼(W)가 정전적으로 흡착유지된다.
한편 설치대(60)의 하면에는 그 바깥둘레에서 하방으로 돌출한 링형상의 각부(60B)가 설치되어 있고, 이 각부(60B)로 둘러싸여 지도록 공간(60C)이 형성되어 있다. 그리고 각부(60B)의 하면이 하방에 위치하는 제 1 실시 예의 장치와 동일하게 구성되는 저판(5) 위에 설치되어 있다. 이 저판(9)과 각부(60B)로 둘러싸인 공간(60C)은 도시하지 않은 배기기구에 의해 진공상태로 유지되도록 구성되어 있다. 따라서 설치대(60)가 저판(9)과 접촉하는 영역은 당연히 설치대(60)의 하면이 모두 하부설치대에 접촉하는 것보다도 작게 된다.
상기 설치대(60)의 하면에는 중앙가열체(64)가 설치되고 그 외측부에는 측부가열체(66)가 설치되어 있다.
중앙가열체(64) 및 측부가열체(66)는 도전성의 발열저항체로 구성되어 있다. 그리고 중앙가열체(64)는 설치대(60) 하면의 설치면(60A)과 대향하는 부분, 즉 공동부(60C)의 천정면에 반도체웨이퍼(W)를 그 전체면에 걸쳐서 가열할 수 있는 크기로 설치되어 있다. 또 측부가열체(66)는 설치대(60)의 하면중 설치면(60A)의 주변에 상당하는 영역 및 설치대(60)의 측면에 설치되어 있다. 따라서 설치대(60)는 그 표면의 설치면(60A)을 제외한 영역의 거의 전부에 가열원이 설치되어 있게 된다.
또한 도면에서는 각부(60B)의 안쪽에도 가열체가 설치되어 있지만 이 부분에는 반드시 가열체를 설치할 필요는 없다. 이것은 공간(60C) 안이 진공상태로 유지되어 소위 진공차단상태로 되어 있으므로, 안쪽으로의 방열이 그다지 발생하지 않는 것에 기인한다. 따라서 각부(60B)의 측부에 설치되는 가열원으로서 필수인 것은 설치대(60)에서의 방열이 현저하게 되는 외측면이 다. 또 설치대(60) 상면의 설치면(60A)을 제외한 영역에는 가열체(66)가 설치되어 있는 것이 바람직하다.
이와 같은 구성에 의하면 피처리체인 반도체웨이퍼(W)의 가열은 주로 설치대(60)의 하면에 위치하는 중앙가열원(64)에 의해 실행되게 된다. 이 경우에는 설치대를 끼워 웨이퍼(W)를 가열하므로 웨이퍼의 설치면(60A)에 가열체의 가열패턴이 나타나는 것이 실질적으로 방지된다. 따라서 가열체의 가열패턴이 피처리체로서의 반도체웨이퍼(W)의 이면에 전사되어 버리는 일은 없다. 더구나 설치대(60)의 바깥둘레는 측부가열체(66)에 의해 가열되고 소위 열의 보충이 이루어지고 있는 것이 되므로 방열이 억제되고 설치대(60)의 표면에서는 온도분포의 균일성이 유지된다.
한편 설치대(60)의 하면에는 각부(60B)가 설치되고, 그 외측면 또는 내측면에 가열체가 위치하고 있으므로 접촉하는 전열부를 작게 하여 전열에 의한 열손실이 억제되고, 더구나 각부(60B)가 일정한 길이를 갖고 있으므로 열보전량이 급격히 줄어드는 것이 방지된다. 이에따라 설치면에서의 온도분포의 변화를 방지할 수 있다.
즉, 각부(60B)에 있어서의 방열부까지의 거리가 짧은 경우에 비하여 방열위치까지의 거리를 길게 하고 또 그 위치까지의 방열량을 보충하도록 가열하는 것으로 방열위치에 이르기까지의 온도저하, 바꾸어 말하면 온도경사를 완만하게 할 수 있다. 이로 인해 설치면으로의 방열의 영향을 작게 하는 것이 가능하게 되고, 설치면에서의 온도분포의 변화를 작게 할 수 있다. 더구나 이와 같은 온도변화를 완만하게 하는 것으로 온도의 급변부를 없애고 기기의 열적인 손실을 방지할 수도 있다.
그런데 설치대(60)에는 제13a도에 도시하는 바와 같이 가열체로의 통전제어에 사용되는 온도센서(68)가 설치되어 있다. 제13a도는 상기한 온도센서중 설치대(60)의 중앙부에 설치된 것이지만 설치상태를 모식적으로 도시하는 도면이고, 동 도면에 있어서 온도센서(68)는 예를 들면 석영튜브 내에 열전대를 배치한 구조로 되어 있다. 이와같은 온도센서(68)는 후술하는 바와 같이 설치대(60)의 중앙부 이외의 위치에도 배치되어 있다. 설치대(60)에 있어서의 온도센서(68)의 설치부분에는 그 하면에서 돌출하도록 통부(60D)가 형성되어 있고, 이 통부(60D)에 온도센서(68)의 두부가 삽입되어 온도센서(68)가 지지되어 있다.
통상 온도센서(68)는 제13b도에 도시하는바와 같이 설치면(60A)의 두부를 접근시킨 상태에서 삽입되어 있지만 이와 같은 설치구조에 의하면 설치면(60A)에서의 열은 온도센서(68)의 전열에 의해 방열되기 쉽게 된다. 따라서 설치면(60A)의 면내에서는 온도센서가 위치하는 곳에서의 온도가 저하하는 것으로 면내에서의 온도분포가 변화해 버리게 된다. 더구나 온도센서 자체도 두부에서의 온도가 설치면과 달라지는 일도 있어 정확한 온도점지를 할 수 없게 된다. 그래서 이 예에서는 온도센서(68)의 두부를 지지하는 위치에 통부(60D)를 설치하는 것이고 전열에 의한 방열량을 보충할 수 있는 열량을 통부(60D)에 의해 공급하도록 구성되어 있다. 따라서 통부(60D)에 의해 온도센서(68)의 두부를 지지하는 홀더로서의 기능을 가지게 함과 동시에 온도센서(68)의 전열에 의한 열손실을 보충하는 것으로 설치면에서의 열이 빼앗기게 되는 것을 막을 수 있다. 이 때문에, 설치면(60A)에서의 온도분포의 변화가 방지됨과 동시에 온도센서 자체의 온도저하에 의한 설치면온도와 검출온도와의 간의 오차 발생을 방지할 수 있다.
온도센서(68)는 웨이퍼(W)의 둘레 방향을 따라 복수군데 설치하는 것이 피처리체 전체 에서의 온도를 감시하는 점에서 바람직하지만, 온도센서(68)에서의 열전도에 의해 설치대(60)의 설치면(60A)의 온도분포가 변화해 버리는 것을 방지하기 위해서는 필요최소한의 수, 예를 들면 후에 설명하는 제14도에 도시하는 바와 같이 웨이퍼(W)의 중앙부와 바깥둘레 근방의 2군데로 하는 것이 바람직하다.
또 제14도, 제15도에 도시하는 바와 같이 설치대(60)의 설치면(60A)을 제외한 상면을 뒤덮으면서도 가열원(66)과 접촉하지 않은 상태에서 설치대(60)에 근접할 수 있도록 배플판(70)을 배치할 수도 있다. 이 배플판(70)은 원반형상을 이루고, 예를 들면 내측부가 석영 또는 SiC에 의해 형성되고 외측부가 다른 세라믹스에 의해 형성되어 있고 외측부에는 제15도에 도시하는 바와 같이 두께방향으로 관통하는 복수의 배기구(71)가 형성되어 있다. 이 배기구(71)는 샤워헤드(3)의 가스취출구(5)에서 토출된 프로세스가스가 통과한다. 이 때문에 배기관(7)이 접속되어 있는 쪽에 위치할수록 입구직경이 작은 것이 되고, 이에 의해 둘레방향으로 균일하게 프로세스가스의 회수(回收)를 실행할 수 있다.
이와 같은 구성으로 이루어진 CVD 장치에 있어서는 반도체웨이퍼(W)에 대한 성막두께를 균일하게 하는 것을 목적으로 하여 가열체의 온도제어가 이루어진다. 바꾸어 말하면 설치대(60)의 중앙부에 비교하여 방열량이 많은 주변부에서의 열량을 보충하는 것으로 설치대(60)를 균일한 온도로 유지하고, 또 성막두께를 균일하게 하는 것이다.
이 때문에 상기한 중앙가열체(64)와 측부가열체(66)는 각각 개별의 전원회로에 접속되어 독립하여 제어된다. 즉, 중앙가열체(64) 및 측부가열체(66)에는 도시하지 않은 전원에서의 회로가 접속되러 있고 제16도에 도시하는 바와 같이 사이리스터위상제어부(80)에 의해 방열량이 제어된다. 사이리스터위상제어부(80)는 온도센서(68)에서의 온도정보에 관한 입력신호에 따라 각 가열체(64, 66)로의 퉁전제어를 하고, 설치대(60)를 균일한 온도로 유지한다.
또 이와 같은 온도제어는 직경방향에서의 프로세스가스의 유속에 영향을 받으므로 성막비를 균일화하는 것도 목적으로 하여 실행된다.
즉, 프로세스가스의 유속은 반도체웨이퍼(W)의 직경방향 외주측의 방향이 빨라지고, 이에 의해 프로세스가스의 온도를 직경방향에서 균일하게 할 수 없는 것이 실험 등에서 확인되고 있다. 그래서 프로세스가스와의 접촉시간에 영향을 받는 성막두께를 직경방향에서 균일하게 하기 위해 유속에 작용하는 설치대에서의 온도경사를 조정하므로써 반도체웨이퍼(W) 면내에서의 유속을 일정하게 하여 프로세스가스와 피처리체와의 접촉시간을 일정화하는 것이 바람직하다.
또한 제17도에 도시하는 바와 같이 제 1 실시예에 관한 장치의 설치대(21)에 이 실시예에 있어서의 측부다열체(36)를 적용할 수도 있다. 이것에 의해 한층 설치대 온도의 균일성을 높일 수 있다.
다음에 제 3 실시예에 대해서 설명한다.
제18도는 이 실시예에 관한 낱장식 CVD장치를 모식적으로 도시하는 단면도이다. 이 낱장식 CVD 장치(100)는 기밀하게 구성된 대략 원통형상의 처리실(105)을 갖고 있고, 그 안에는 피처리체인 반도체웨이퍼(W)를 설치하기 위한 설치대(109)가 설치되어 있다.
이 처리실(105)의 상면에는 중공의 원통형상을 이루는 샤워헤드(102)가 기밀하게 설치되어 있다. 이 샤워헤드(102)의 상부에는 처리가스도입관(106)이 설치되어 있고, 처리가스원(107)에서 질량흐름제어기(108)을 통해 소정의 처리가스, 예를 들면 SiH2와 H2와의 혼합가스가 상기 샤워헤드(102)의 중공부에 도입가능하게 구성되어 있다.
또 상기 샤워헤드(103)의 하면, 즉 설치대(109)와의 대향면에는 가스취출구멍(104)이 다수 설치된 다공판(103)이 설치되어 있고, 처리가스도입관(106)에서 도입되는 처리가스는 샤워헤드(102)의 중공부에서 가스취출구멍(104)을 통과하여 처리실(105) 내의 설치대(109)를 향해 균등하게 토출된다.
상기 처리실(105)의 저부근방에는 진공펌프등의 배기수단(110)에 통하는 배기관(111)이 설치되어 있고, 그 배기수단(110)을 작동시키므로써 상기 처리실(105)을 소정의 감압분위기, 예를 들면 10-6Torr로 유지가능하게 구성되어 있다.
상기 처리실(105)의 저부는 대략 원통형상의 지지체(112)에 의해 지지된 저판(113)에 의해 구성되고, 또한 이 저판(113)의 내부에는 냉각수저장부(114)가 설치되어 있고, 냉매원(115)에서 파이프(140)를 통해 냉각수가 이 냉각수저장부(114)로 공급되고, 도시하지 않은 배 출파이프에서 냉각수저장부(114)의 냉각수가 배출되어 냉각수가 냉각저장부(114) 내를 순환한다.
그리고 상기 저판(113)의 상면에는 단열재(115)를 끼워 설치대(105)가 설치되어 있다. 이 설치대(109)에는 설치되는 피처리체(W)의 이면에 대해 전열매체를 공급하기 위한 공급로(150)가 형성되어 있고. 이 공급로(150)에는 전열매체 예를 들면 He가 He원(151)에서 공급된다.
이 전열매체공급로(150)를 통하여 반도체웨이퍼(W)의 이면에 공급되는 전열매체의 공급량은 후술하는 제어기(119)에서의 제어신호에 의해 밸브(142)의 벌어진 정도가 조절되는 것에 의하여 제어된다.
또 상기 설치대(109)의 내부에는 대략 동심 원형상으로 제 1, 제 2 및 제 3 가열체(116, 117, 118)가 배설되어 있다. 이들의 가열체(116, 117, 118)는 각각 고체계전기(SSR)로 구성되는 스위치(120, 121, 122)를 끼워 전원(130, 131, 132)에 접속되어 있고, 이들 전원에 서 공급되는 것에 의해 발열한다. 그리고 제어기(119)에서의 제어신호에 의해 이들 가열체(116, 117, 118)에 대응하는 고체계전기(SSR)(120, 121, 122)가 온·오프제어되고, 이에 의해 이들 가열체가 독립하여 제어하는 것이 가능하게 되어 있다. 또한 도시한 예에서는 3개의 가열체(116, 117, 118)을 대략 동심원형상으로 배치하는 구성을 채용하고 있지만, 이러한 구성에 한하지 않고 임의 수의 가열체를 임의의 레이아웃으로 설치대에 장치 하고, 설치대 표면 복수의 소망영역을 소망온도에 개별독립적으로 가열보온 가능한 구성으로 할 수 있다.
또 설치대(109)는 제19도에 도시하는 바와 같이 각 가열체(116, 117, 118)에 대응하는 대략 동심원형상의 3개의 가열영역(123, 124, 125)이 형성되어 있고, 이들 가열영역에 각각 온도센서(152, 153, 154)가 각각 설치되어 있다. 이들 온도센서(152, 153, 154)에서의 검출신호는 상기 제어기(119)에 입력되고 이 검출신호에 의거하여, 제어기(119)에서 전열매체공급로(150)에 공급되는 전열매체의 공급량 및 상기 각 가열체(116, 117, 118)의 출력이 피드백제어된다.
이와 같이 구성하는 것에 의해, 설치면(109)의 온도제어를 상술한 대략 동심원형상의 3개 영역(123, 124, 125)으로 각각 독립하여 실행하는 것이 가능하게 되며, 이들 3개 영역에서 다른 온도로 설정할 수 있다. 그리고 그 설치면에 흡착유지되는 반도체웨이퍼(W)에는 설치대 (109)의 열이 그 이면에서 전열에 의해 공급되므로, 그 온도분포는 설치대(109)의 3개 영역에 대응한 것이 되고, 웨이퍼(W)에는 영역(123, 124, 125)에 대응하여 대략 동심원형상으로 3개의 영역(126, 127, 128)이 형성되게 된다.
그 결과 처리가스의 농도경계층의 두께가 얇은 반도체웨이퍼 주위영역(128)의 온도를 그 농도경계충의 두께가 두꺼운 반도체웨이퍼 중앙 영역(126)보다 저온으로 설정할 수 있고, 농도경계층분포의 존재에도 불구하고 성막비의 균일화를 도모하는 것이 가능하게 된다.
이하에 그 이유를 설명한다.
제18도에 도시하는 바와 같은 낱장식 CVD 장치(100)에 있어서 샤워헤드(102) 저면의 다공판(103)에 천공된 구멍(104)을 통해 처리실(105) 내에 소정의 처리가스, 예를 들면 SiH4등을 균일한 유량으로 도입한 경우에 처리가스는 화살표에서 가리키는 흐름을 나타내고, 반도체웨이퍼(W)상의 처리가스의 유속(u)은 웨이퍼 반경(r)의 함수가 되고, 제20도에 도시하는 바와 같이, 외주를 향할수록 유속이 빠르게 되는 분포를 나타낸다. 여기서 성막물질의 이동량에 큰 관계를 갖는 처리가스의 농도경계층의 두께(δ)는 처리가스 유속(u)의 함수이므로 이 농도경계층 두께의 반도체웨이퍼(W) 표면에 대한 분포는 제21도에 도시하는 바와 같이, 중앙부만큼 두껍고, 단부를 향할수록 얇아지고, 그 결과 온도는 중앙부에서 단부를 향할수록 높아지게 된다. 따라서 처리가스의 농도경계층 두께가 얇은 반도체웨이퍼 주위의 영역(128)의 온도를 그 온도경계층 두께가 두꺼운 반도체웨이퍼 중앙의 영역(126)보다 저온으로 설정하므로써 균일하게 성막하는 것이 가능하게 된다.
그리고 상기 처리실(105)의 옆쪽에는 제 1 실시예의 장치와 마찬가지로 게이트밸브(51)를 끼워 로드잠금실(52)이 설치되어 있다.
다음에 이와 같이 구성된 CVD 장치의 동작에 대해 설명한다. 우선 제 1 실시예와 동일하게 하여 반도체웨이퍼가 카세트수납실에서 상기 로드잠금실(52)내로 반입되고, 다시 로드잠금실(52)에서 처리실(105) 내로 반입된다. 그리고 반도체웨이퍼(W)는 처리실(105)내의 설치대 (109) 위에 설치되고, 도시하지 않은 고정수단, 예를들면 정전척에 의해 상기 설치대(105)의 설치면에 흡착보유된다.
그후 제어기(119)에서의 제어신호에 의거하여 제 1, 제 2 및 제 3 가열체(116, 117, 118)가 각각 별개로 제어되고, 상기 설치대(109)의 설치면 영역(123, 124, 125)을 각각 원하는 온도, 예를 들면 500℃, 450℃, 400℃까지 가열한다. 그 결과 설치면 영역(123, 124, 125)에서의 전열에 의해, 그들의 영역에 대응하는 반도체웨이퍼(W)의 반응 표면 영역(126, 127, 128)이 각각 원하는 온도, 예를 들면 500℃, 450℃, 400℃까지 가열된다.
이어서 처리가스원(107)에서 질량흐름제어기(108) 및 처리가스도입구(106)를 통해 상기 샤워헤드(102)내에 소정의 처리가스, 예를 들면 SiH4와 Ha2의 혼합가스 등이 도입되고, 또 그 샤워헤드(102) 하면의 취출구멍(104)를 통해 처리실(105)내에 상기 처리가스가 공급되고, 상기 설치대(109)에 설치된 반도체웨이퍼(W)의 표면에 대한 성막, 처리가 실시된다.
이때 종래의 장치에 있어서는 상기 샤워헤드(102) 하면의 취출구멍(104)에서는 처리가스가 균일한 유속으로 상기 처리실(105)내에 공급되지만, 이미 설명한 바와 같이 이러한 처리가스의 유속은 웨이퍼 표면에 대해 제 20 도에 도시하는 바와 같은 분포를 나타내고, 또 그 가스의 농도경제층 두께가 제21도에 도시하는 것과 같은 분포를 나타내므로 균일한 유속의 가스공급에 의해서도 반드시 피처리체표면에 있어서 균일한 성막비를 얻을 수 없다.
그렇지만 상술한 바와 같이 구성된 설치대(105)에 의하면 제19도에 도시하는 바와 같이 설치대(109) 표면의 영역(123, 124, 125)을 원하는 온도로 별개독립으로 제어할 수 있으므로, 그 설치면에 흡착 유지된 반도체웨이퍼(W)의 처리표면 온도도 설치면의 각 영역에 대응한 영역(126, 127, 128)마다 제어하는 것이 가능하므로, 제21도에 도시하는 농도경제층의 분포를 상쇄하는 바와 같이, 웨이퍼 주위의 온도를 중앙의 온도보다 낮게 설정하므로써 웨이퍼 표면에 있어서 균일한 성막비로 성막을 할 수 있어 균일하고 고품질인 박막을 얻을 수 있다.
이상과 같이 하여 성막이 완료한 반도체웨이퍼(W)는 처리실(105)내의 잔류가스를 배기수단(110)에 의해 배기한 후 로드잠금실(52)로 반출되고, 다시 카세트수납실로 반출된다. 이렇게 하여 일련의 처리가 종료한다.
제22도는 제18도에 나타낸 장치에 있어서의 설치대의 변형예를 도시한 단면도이다.
도시한 바와 같이 이 예에서는 제4의 가열체(135)가 피처리체인 반도체웨이퍼(W)의 주위를 둘러싸도록 배치되어 있다. 이 제4의 가열체(135)는 이에 대응하여 설치된 제4의 온도센서(155)에서의 신호에 응답하여 제어기(119)에서 보내진 제어신호에 의해 스위치(136)를 온, 오프제어함으로써 제1, 제2 및 제3의 가열체(116, 117, 118) 와는 개별독립적으로 제어되는 것이 가능하다.
이러한 구성에 의해 반도체웨이퍼(W)의 표면의 온도분포를 제어하는 제어매개변수의 수를 증가시키는 것이 가능하게 되고 제어정도를 높일 수 있음과 동시에 특히 반도체웨이퍼(W)를 상온에서 처리온도, 예를 들면 500℃까지 승온(昇溫)시킬 때에 주위에 배치된 제4의 가열체(135)에 의해 그 가열을 신속하면서도 효율적으로 실행할 수 있다.
다음에 제 4 실시예에 대해 설명한다.
제23도는 이 실시예에 관한 낱장식CVD장치를 모식적으로 나타내는 단면도이다. 이 CVD장치(200)는 기밀하게 구성된 대략 원통형상의 처리실(202)을 가지고 있고, 그 속에는 피처리체인 반도체웨이퍼(W)를 설치하기 위한 설치대(231)가 설치되어있다.
이 처리실(202)이 상면에는 본 실시예에 있어서의 특징부분인 샤워헤드(203)가 기밀하게 설치되어 있다. 이 샤워헤드(203)는 원반형상을 이루고 있고, 그 속에는 3가지의 환상공간(204, 205, 206)이 동심적으로 형성되어 있다. 그리고 이들 환상공간(204, 205, 206)은 칸막이벽(208, 209)에 의해 분리되어 있다.
이들 환상공간(204, 205, 206)은 제24도에 확대하여 도시하는 바와 같이 수평단면적이 삭각 같아지도록 외주를 향함에 따라서 그 폭이 좁아지도록 구성되어 있다. 이와 같이 구성하는 것에 의해 각 환상공간에서 상기처리실(202)로 공급되는 처리가스의 유량을 쉽게 파악할 수 있으므로 처리가스의 제어가 쉽게 된다.
또 샤워헤드(203)의 처리가스취출면(210)도 상기 환상공간에 대응하여, 면적이 같은 3가지의 영역(211, 212, 213)으로 분할되어 있다.
이 취출면(210)에는 제25도에 도시하는 바와 같이, 복수의 구멍(214)이 천공되어 있다. 그리고 각 영역(211, 212, 213)에 대해 구멍의 열림율(각 영역의 면적에 대한 그 면적에 천공되는 구멍의 총면적의 비율)이 같아지게 되도록 구멍(214)이 형성되어 있다. 이러한 구성에 의해 상기 처리실(202)에 공급되는 처리가스의 유량이 더욱 파악하기 쉬워지고 처리가스의 제어를 쉽게 실행할 수 있다.
이상과 같이 구성된 샤워헤드(203)의 상부에는 환상공간(204, 205, 206)에 각각 대응한 처리가스도입관(215, 216, 217)이 설치되어 있다.
이들의 처리가스도입관(215, 216, 217)은 각각 대응하는 질량흐름제어기(218, 219, 220)를 개재하여 각각 대응하는 처리가스원(221, 222, 223)에 연이어 통하고 있고 제어기(250)에서의 제어신호에 따라, 환상공간(204, 205, 206)에 대해 별개 독립적으로 원하는 조성의 처리가스를 원하는 유량으로 공급할 수 있다.
제3의 실시예에서도 설명한 바와 같이, 반도체웨이퍼(W)상의 처리가스의 유량(유속)은 외부둘레로 향할수록 그 만큼 커지게 되고, 그 정도는 제26도에 도시하는 바와 같이 샤워헤드의 지름이 작아질수록 현저하고, 또 이에 따라 처리가스의 농도경계층은 웨이퍼의 중앙부가 두껍고, 끝부분을 향할수록 얇아지게 된다.
이 실시예에는 상술한 바와 같이, 처리가스의 유량분포 및 농도경계층분포를 없앨 수 있도록 처리가스를 상기 처리실(202)내에 공급하는 것이 가능하므로 성막의 비율, 성막의 균일성 및 막질을 처리 환경에 따라 적당하게 제어하는 것이 가능하게 된다.
처리실(202)의 저부부근에는 진공폄프 등의 배기수단(224)에 연통하는 배기관(225)이 설치되고, 해당 배기수단(224)의 작동에 의해 처리실(202)이 소정의 진공도까지 진공되는 것이 가능하다.
처리실(202)의 저부는 대략 원통형상의 지지체(226)에 의해 지지된 밑판(227)에 의해 구성되어 있다. 이 밑판(227)의 내부에는 냉각수저장부(228)가 설치되어 있고, 냉매원(229)에서 파이프(230)를 통하여 냉각수가 이 냉각수저장부(228)에 공급되고, 도시하지 않은 배출파이프(230)에서 냉각수저장부(228)의 냉각수가 배출되고, 냉각수가 냉각수저장부(228)내를 순환한다.
밑판(227)의 상면에는 상슬한 설치대(231)가 설치되어 있고, 그 설치대(231)의 설치 면에는 도시하지 않은 고정수단, 예를들면 정전척에 의해 반도체웨이퍼가 설치고정 되는 것이 가능하게 되어있다.
이러한 설치대(231)에는 스위치(232)에 의해 온, 오프제어 할 수 있는 가열체(223)가 내장되어 있고, 처리시에는 이 가열체(233)에서 발생한 열이 상기 반도체 웨이퍼(W)에 그 이 면에서 전열에 의해 공급되고, 반도체웨이퍼(W)가 원하는 온도로 가열된다.
이 실시예에 있어서 종전의 실시예와 마찬가지로, 처리실(202)의 옆쪽에 로드잠금실(52)이 설치되어 있다.
다음에 이와같이 구성된 CVD장치의 동작에 대해 설명한다. 우선 제1 실시 예와 동일하게 하여, 반도체웨이퍼가 카세트수납실에서 상기 로드잠금실(52)내로 반입되고 다시 로드잠금실(52)에서 처리실(202)내로 반입된다. 그리고 반도체웨이퍼(W)는 처리실(202)내의 설치대(231)의 위에 설치되어 도시하지 않은 고정수단, 예를들면 정전척에 의해 상기 설치대(231)의 설치면에 흡착보유된다.
그 후, 상기 가열체(233)에 의해 반도체웨이퍼(W)가 소정온도, 예를들면 500℃까지 가열되고 처리가스원(221, 222, 223)에서 각각 질량흐름제어기(218, 215, 220) 및 처리 가스도입구(15, 16, 17)를 통하여 각각 샤워 헤드(203)내의 환상공간(204, 205, 206)내에 소정의 처리가스, 예를 들면 SiH4와 H2와 혼합가스가 도입되고 다시 각 환상공간(204, 205, 206)에 대응하는 가스토출면의 영역(211, 212, 213)에 천공된 구멍(214)를 통하여 처리실(202)내에 처리가스가 공급되고, 설치대(231)에 설치된 반도체웨이퍼(W)의 표면에 대한 성막처리가 실시된다.
이때, 상술한 바와 같이 구성된 샤워헤드(203)에 의하면, 환상공간(204, 205, 206)에 공급되는 처리가스의 조성 및 유략이 개별독립적으로 제어되므로 종래의 샤워헤드에서 발생하고 있는 유량분포 및 농도경계층분포를 없애기 위해 처리가스를 공급하는 가능하다. 그 결과 원하는 성막비율로 균일하면서도 고품질의 성막을 반도체웨이퍼(W)에 대해 실행할 수 있다.
또 상기 예에서는 각 환상공간에는 같은 종류의 처리가스, 예를 들면 SiH4와 H2와의 혼합가스가 공급하도록 구성하였는데, 이러한 예에 한정되지 않고, 환상공간(204, 205, 206)에 대 해 다른 종류의 가스를 공급하고 상기 처리실(202)내에 각 가스를 혼합하도록 하여도된다.
샤워 헤드(203)의 환상공간(204, 205, 206)이 동심원형상으로 배치되어 있으므로, 소경의 반도체웨이퍼(W)를 처리하는 경우에는 예를 들면 가장 외부둘레측의 환상공간(206)에 대한 처리가스의 공급을 정지할 뿐이고, 샤워 헤드자체를 처리하는 반도체웨이퍼(W)의 외경에 맞추어 교환할 필요가 없게 된다. 다만, 이 경우에는 처리중에 처리실(202)내에서 환상공간(206)에 대응하는 가스토출면영역(213)에 뚫어 설치된 구멍(214)에서 처리가스가 역류하지 않도록 상기 환상공간(216)자체도 상기 처리실(202)에서 격리하는 것이 가능한 구성으로 하는 것이 바람직 하다.
이상과 같이 하여 성막이 완료한 반도체웨이퍼(W)는 처리실(202)내의 잔류가스를 상기 배기수단(224)에 의해 배기한 후, 로드잠금실(52)로 반입되고, 다시 카세트수납실로 반출된다. 이렇게 하여 일련의 처리가 종료된다.
제27도에는 샤워헤드(203)의 다른 예를 도시한다. 이 예에서는 도시 한 바와 같이 환상공간(204, 205, 206)내에 2층으로 배플판(240, 241)이 설치되어 있고, 각 처리가스도입관(215, 216, 217)에서 각각 환상공간 (204, 205, 206)내에 도입된 처리가스의 정류화(整流化)가 도모되고 있다. 이와 같이 구성 함으로써 환상공간(204, 205, 206)에 대응하는 토출면영역(211, 212, 213)에서 처리실(202)내로 공급되는 처리가스가 정류되고, 가스공급량의 제어정도를 향상시킬 수 있다.
또한 도시한 실시예에 사용가능한 배플판(241)으로서는 판재에 복수의 구멍을 뚫어 설치한 것, 또는 선재를 그물형상등으로 짠것 등을 사용할 수 있다.
다음의 제 5 실시예에 대해서 설명한다.
이 실시예는 CVD장치 등의 진공처리장치에 있어서의 히터, 정전척등의 진공하에서 전력이 공급되는 피급전(給電)부재에 전력을 공급하는 급전부(給電部)에 특징이 있다.
제28도는 이 실시예에 관한 CVD장치를 나타내는 단면도이다. 이 장치는 기본구조가 제1도에 도시하는 형태의 장치와 동일하므로, 제1도의 장치와 동일한 것에는 같은 부호를 붙여 설명을 생략한다.
이 실시예에 있어서 반도체웨이퍼(W)를 설치하는 설치대(21)는 지지부재(21a, 21b)를 끼워 밑판(9)에 놓여져 있다. 또 설치대(21)의 아래쪽의 그 바깥둘레부에 대응하는 부분에는 설치대(21)와 일체로 예를 들면 BN형의 통상의 지지부재(21c)가 배설되어 있다.
설치대(21)에는 제 1 실시예의 장치와 마찬가지로 정전척용의 유전체(24, 25) 및 히터(26)등의 피급전부가 설치되어 있고, 이들 피급전부에 급전하기 위한 급전부(300a, 300b)가 이들과 이들의 전원과의 사이에 설치되어 있다. 본 실시예의 경우, 급전부로서는 도전체(24, 25) 및 히터(26)에 대하여 각각 같은 구조의 것이 사용되고 제29도에 도시하는 바와 같이, 정전척 용의 유전체(24, 25)에 전력을 공급하는 급전부(300a)와 히터(26)에 전력을 공급하는 급전부(300b)가 설치대(21)의 둘레방향을 따라 나열되어 있다.
제30도는 급전부(300a, 300b)의 상세함을 나타내는 단면도이다.
이 급전부는 설치대(21)측에 설치된 리셉터클단자(302)와 이것과 끼워맞출 수 있는 플래그단자(304)를 구비하고 이들이 그 주요부를 구성하고 있다. 리셉터클단자(302)는 밑면이 있는 열림구의 하나인 하향의 열림구를 가지는 캡형상의 부재로 구성되어 있고 예를 들면, 설치대(21)와 일체로 설치되어 있는 상기 지지부재(21c)의 저부에 묻어 설치되어 있다.
이 리셉터클단자(302)에는 표면처리가 이루어져 있다. 이 표면처리에 대해 제31a도∼제31c도를 참조하여 설명한다. 리셉터클단자(302)가 지지부재(21c)에 매설된 단계에서는 제31a도에 도시하는 바와 같이 내저부(內底部)근방이 하향열림구의 내경보다도 작게된 단부가 형성되어 있다.
이와 같은 형상의 리셉터클단자(302)는 우선 유전층으로서의 탄소층(302A)이 CVD처리에 의해 코팅된다. 이 탄소층(302A)은 리셉터클단자(302)에서만 코팅되는 것이 아니라, 이 단자(302)의 위치에서 전극부(34, 36) 또는 히터(38)를 향해 연장되는 것으로 각 도전체사이에 서의 배선부를 구성할 수 있다. 그리고 이 탄소층(302A)의 상면에 예를 들면 P-BN(열분해성 질화붕소)가 CVD처리에 의해서 코팅되어 절연층(302B)이 형성되고, 제31b도에 도시하는 상태가 된다.
이와 같은 표면처리는 제 1실시예에서 설명한 설치대(21)의 표면에 형성된 제 2 절연층과 같은 처리이므로 설치대(21)를 형성하는 단계에서 리셉터클단자(302)를 매설해 두는 것으로 설치대(21)측의 처리와 동시에 실행할 수 있다.
그리고 제31c도에 도시하는 바와 같이 절연층(302B)을 형성한 리셉터클단자(302)에 있어서는 내저부근방에 위치하는 각부의 내부둘레면을 기계가공에 의해 절삭되는 것에 의해 절연층(302B)이 제거되고, 탄소의 도전층이 노출하지만, 그 위치가 플래그단자(304)와의 접속점 (302c)이 된다.
즉, 리셉터클단자(302)에서의 도전부는 내저부에 가까운 안쪽에만 형성되게 된다. 이 예의 경우, 내저부 근방의 측면이 절삭되는 것으로 절연층(302B)의 내경과 접속점(302C)의 내경과 대략 동일하게 되어 있다.
이와 같은 측면을 접속점으로 한 경우에는 플래그단자측에서의 열팽창이 발생하고 축방향으로 플래그단자(304)가 팽창변형한 경우에도 플래그단자와의 접촉을 유지할 수 있다. 또한 절연층(302B)를 제거하여 접속점(302C)을 형성하는 대신에 상기 단부를 미리 노출시키고, 접속점(302C)에 상당하는 위치 이외를 코팅하는 것도 가능하다.
또 제32도에 도시하는 바와 같이, 지지부재(21c)의 리셉터클단자(302)가 삽입되는 홈부 및 지지부재(21c)의 외측에 도전층(302D)을 형성하고, 이 도전층(302D)의 홈부내측의 수직 부분에 나사를 형성하고, 이 나사에 탄소등으로 형성된 도전층캡(302E) 및 BN등으로 형성된 절연성캡(302F)을 나사결합시키고, 이들 도전성캡(302E) 및 절연성캡(302)으로 리셉터클단자(302)를 구성하고 있다. 그리고 도전성캡(302E)부분을 제외하고 제31b도와 마찬가지로 P-BN등으로 이루어진 절연층(302B)이 형성된다. 이경우에 접속점(302C)은 도전성캡(302E)의 내측부분에 형성된다.
한편 플래그단자(304)는 도전부(304A)와 지지부(304B)를 구비하고 있다. 도전부(304A)는 예를 들면 리셉터클단자(302)의 접속점(302C)의 내경보다도 약간 큰 외경을 가지 며, 고온분위기 하에 있어서도 어느정도의 탄력성을 유지할 수 있는 텅스텐으로 형성되어 있다. 그리고 도전부(304A)는 제33도에 도시하는 바와 같이, 그 두부에서 축방향을 따라 복수의 슬릿(304A1)이 형성되어 있음과 동시에 이 슬릿(304A1)이 지 지부재(21c)의 저부보다도 아래쪽에 종단(終端)을 위치시키고 있다. 그러므로 플래그단자(304)가 리셉터클단자(302)내에 눌러넣어졌을 때에는 휘어서 변형했을 때의 복원력을 이용하여 리셉터클단자(302)의 접속점(302C)에서 하향열림구에 이르는 절연층(302B)의 사이에서 밀착하는 것이 가능하다.
더구나 슬릿(304A1)이 지지부재(21C)의 하방위치까지 연장되어 있으므로 리셉터클단자(302)내의 압력과 이셉터클단자 외부의 압력을 균형시킬 수 있고, 이에 따라 누를때의 여분의 저항을 없앨 수가 있다.
또 리셉터클단자(302)내에 눌러넣어진 플래그단자(304)는 제31c도에 도시하는 바와 같이 도전부(304A)의 두부와 리셉터클단자(302)의 내저부와의 사이에 약간의 간격(ℓ)이 설정되어 있다. 이것에 의해 후술하는 지지부(304B)에 발생하는 열팽창을 흡수할 수 있다. 또한 플래그단자(304)의 도전부(304A)는 리셉터클단자(302)와의 사이의 치수차이에 의한 밀착특성을 얻도록 하기 위해서는 미리 슬릿사이의 편부를 외측으로 팽창시킨 것을 적용하여도 된다.
또 도전부(304A)는 제33도에 있어서 리셉터클단자(302)의 접속점(302C)에 접촉하는 위치(304A2)이외의 표면에는 예를 들면, SiC, SiO2등을 사용한 CVD처리에 의하여 절연층이 형성되어 있다. 이에 의하여 서로 이웃하는 플래그단자 사이에서의 방전이 저지된다.
한편 지지부(304B)는 도전부(304A)를 고정하기 위한 부재이고, 이 예에서는 니켈합금으로 이루어진 코발로 구성되어 있다. 지지부(304B)는 세라믹스관(304C)으로 뒤덮여 있다. 그리고 지지부(304B) 의 선단, 즉 도전부(304A)와 대향하는 끝부분에는 제33도에 도시하는 바와 같이 원주형상의 돌기(304B1)가 형성된 구멍(304A3)에 대해 조여 끼우는 것으로 지지부(304B1)가 도전부(304A3)와 일체화되어 있다. 이와 같은 조여끼움을 하는 것으로 가령 히터쪽에 근접하는 선단측에서의 온도가 상승하여 돌기(304B1)에 열팽창이 발생한 경우에는 더욱 강한 결합상태를 얻을 수 있다.
또 지지부(304B)는 하단을 배선접속구로 하고, 그 도중을 밑판(9)에 고정되도록 되어 있다. 즉 밑판(9)의 하면에는 세라믹스로 만든 지지체(308)가 설치되어 있고, 이 지지체(308)의 하부내면에 지지부(304B)가 납땜으로 고정되어 있다. 지지체(308)를 세라믹스로 만든것은 지지체(304B)와 외부와의 절연외에 지지부(304B)에 사용되고 있는 코발과의 사이의 열팽창율이 근접하고 있는 것에 의해 납땜부(304D)의 박리를 방지하기 위함이기도 하다. 또한 밑판(9)과 지지체(308)와의 대향면에는 0링(310)이 배치되어 있다.
또 지지부(304B)의 축방향도중에는 축 그 자체를 나선모양으로 형성한 절삭부(304B2)가 형성되어 있고, 이 절삭부(304B2)에 의해 도전부(304A)와 납땜된 지지부(304B)와의 축선이 어긋나있던 경우에도 도전부(304A)가 리셉터클단자(302)에 꼭 맞출수 있도록 되어 있다.
그리고 지지부(304B)는 선단에서 후슬하는 냉각부에 대향하는 위치까지, 바꾸어 말하면 감압분위기에 접촉하는 범위의 표면에 도전부(304A)와 마찬가지로, SiO2, SiN을 사용한 CVD처리에 의해 절연층이 형성되어 있고, 이 절연층의 존재에 의해 금속부분이 노출하는 것을 방지하고 있다. 따라서 감압분위기하에 위치하는 부분에서 유전체에서의 방전이 방지된다.
한편 지지부(304B)에 있어서의 납땜부(304D)의 주위에 냉각구조가 설치되어 있다. 즉 이와같은 냉각구조는 납땜부(304D)에서의 열박리나 대기중에 위치하는 지지부(304B)가 고온에 드러나게 되는 위험을 방지하기 위해 설치되어 있다. 이를 위해 지지체(308)을 끼워 납땜부(304D)와 대향하는 위치에는 둘레 방향을 따라 수냉(水冷)재킷(312)이 설치되고 이 수냉 재킷(312)에는 냉각수를 순환시키기 위한 급수, 배수파이프(314, 316)가 각각 접속되어 있다. 그리고 여기서는 이 냉각구조에 의해 납땜부(304D)에서의 온도가 예를 들면 500℃정도로 유지되어 있다. 이와 같은 냉각구조는 히터로의 급전부에 많은 전류를 흘려보내는 것이 요구되는 플래그단자(304)에 있어서는 온도상승에 의한 납땜부의 파손을 억제하는데에도 유효하다.
다음에 동작에 대해 설명한다.
처리실(2)은 그 제조과정에 있어서 설치대(21)의 정전척의 전극부(24,25) 및 히터(26)로의 급전부가 내장된다. 즉 급전부를 내장하는데 있어서 설치대(21)측에 리셉터클단자(302)를 매설한다. 그리고 리셉터클(302)에 대해 표면처리를 실시하게 되지만 이 표면처리에 있어서 도전층을 구성하는 탄소층(302A)을 CVD처리에 의하여 형성하는 경우에는 각 리셉터클단자(302)중 정전척의 전극부(24, 25)에 전력을 공급하는 부분(300a)(제29도 잠조)에 상당하는 것 및 히터(26)에 전력을 공급하는 부분(300b)(제25도 참조)에 상당하는 것이 함께 처리되는 것이고, 코팅시 또는 그 후의 패터닝 시에 한데모아 배선부로서 형성된다. 이 배선은 제30도에 있어서 일점 쇄선으로 전극(24, 25)을 향한 배선으로 하고, 또 이점쇄선으로 히터(26)를 향한 배선으로서 나타내고 있다. 또 제30도에서는 양쪽의 배선을 편의상 같은 리셉터클단자(302)에서 끌어 내서 나타내고 있지만, 실제로는 상기한 급전부에 대응하는 리셉터클단자(302)에서 끌어 내어지고 있는 것은 말할 필요도 없다.
이와 같이 하여 탄소층(302A)이 형성되면, 이층 위에 P-BN(열분해성 질화붕소)이 CVD처리에 의해 코팅되고 절연층(302B)이 형성된다.
이 경우의 표면처리에 있어서도 상술한 탄소층(302A)과 정전척의 전극부(24, 25)사이 또는 히터(26)사이에서의 배선과 마찬가지로, 정전척의 절연층을 한데모아 형성할 수 있다. 그리고 리셉터클단자(302)의 내저부근방의 내부둘레면이 기계가공에 의해 제거되는 것으로 절연층(302B)이 제거되고 접속점(302c)이 형성된다.
한편 리셉터클단자(302)에 대한 플래그단자(304)의 내장은 지지체(308)에 납땜된 지지부(304B)의 선단에 조여 끼워지는 것으로 일체화되어 있는 도전부(304A)를 리셉터클단자(302)의 내부에 삽입한다. 이때 리셉터클단자(302)와 도전부(304A)와의 다이의 치수차이에 의해 도전부(304A)는 지름이 작아지는 방향으로 휘면서 삽입되고, 소위 눌려 넣어지게 되고, 도전부(304A)의 선단과 리셉터클단자(302)의 내저부와의 사이에 적당한 간격(제31c도에서 부호(ℓ)로 나타낸 간격)을 설치한 위치까지 눌려넣어진다.
따라서 도전부(304A)는 굴곡변형을 복원하는 때의 힘을 리셉터클단자(302)의 접속점(302c)에서 열림구에 이르는 범위에 작용시키는 것이 된다. 이 때문에 리셉터클단자(302)의 내표면과 플래그단자(304)의 외표면과의 사이의 간격이 아주 적은 상태로 된다. 따라서 접속점 (302)에서 방출된 전자는 그 간격내에서 층돌빈도를 제약받고, 소위 평균자유행정(行程)을 거의 할수 없는 상태가 설정되므로 전자붕괴현상을 일어나게 할 수 없게 된다. 이에 의해 방전형상이 방지되게 된다.
리셉터클단자(302)에 눌려 넣어지는 플래그단자(304)는 가령 리셉터클단자(302)에 눌려 넣어지는 급전부(304A)의 축선이 지지부(304B)의 축선과 일치하지 않는 상태에 있을 때에도 나선형 상의 절삭부(304B2)를 끼워 어느정도의 축선을 어긋나게한 상태에서 급전부(304a)를 리셉터클단자(302)의 위치에 꼭 맞게할 수 있다. 이 때문에 설치대(21)측과 밑판(9)측과의 조립오차가 발생하고 있어도 도전부(304A)를 리셉터클단자(302)의 위치에 정합시켜서 눌러 넣을 수 있다.
플래그단자(304)는 리셉터클단자(302)로의 눌러 넣음이 종료하면 지지체(308)가 밑판(9)에 고정되는 것으로 내장이 종료된다.
한편, 리셉터클단자(302)에 눌러넣어진 플래그단자(304)에서는 정전척용의 전극(24, 25) 및 히터(26)로의 급전이 이루어진다. 이 경우에는 리셉터클단자(302)의 접속점(302C)에서 탄소층(302A)에 의한 배선을 통해 각 전극(24, 25) 및 히터(26)를 향한 급전이 이루어진다.
본 실시예에 의하면 고압전원에서 급전을 하는 경우에 사용되는 플래그단자와 대용량의 전력을 공급하는 경유에 사용되는 플래그단자는 서로 공통된 구조로 할 수 있다. 이 때문에 급전의 내용이 다른 경우라도 그것에 적합한 단자를 준비하는 것과 같은 필요가 없으므로 구조를 간략화할 수 있다
그리고 플래그단자에 대해 리셉터클단자측을 삽입시키는 조립순서를 채용할 수 있으므로 단자 위에서의 장착이라고 하는 간단한 구조에 의해 급전부의 조립을 할 수 있고, 유지보수도 쉽게 된다.
이와 같이 본 실시예에 의하면 히터등의 피급전부재에 밑면이 있는 열림구를 형성하고 그 저부측을 도전성의 접속점으로 하여 그 열림구단측을 절연층으로 하는 구조의 리셉터클단자를 채용하고 그곳에 플래그단자를 끼워 넣어 전력을 공급하도록 하였으므로 간단한 구조이면서도 이들의 접속점에서의 단자의 충돌의 빈도를 적게할 수 있다.
이 때문에 접속점에서 방출된 전자에 의한 전자붕괴현상이 방지되므로 진공하에 있어서 배선한 경우에도 방전을 방지하는 것이 가능하게 된다.
이와 같은 방전방지효과는 리셉터클단자(302)의 형상에 따라 다르다. 그것을 제34도에 의거하여 설명한다. 여기서는 테프론으로 만든 리셉터클단자(302)의 캡열림구부의 깊이(L)와 직경(D)을 변화시킨 때에 있어서의 처리실내의 진공도와 방전개시 전압과의 관계를 나타낸다.
또한 열림부의 깊이(L)로서는 16, 20, 23mm, 직경(D)으로서는 Φ6.0, 6.2, 6.4 mm를 채용하였다. 또 플래그단자(304)의 도전부(304A)의 길이는 25mm, 그 노출부분의 길이는 8mm, 직경은 Φ5.9mm로 하고 시험은 25℃에서 하였다.
제34도에서 알 수 있는 바와 같이 L이 크고 D가 작은 만큼, 즉 접속점 위치가 깊고 열림부의 폭이 좁은 만큼 안정되게 방전개시 전압이 높은 것, 즉 방전하기 어렵다는 것을 알 수 있다.
본 실시예에 의하면 접속점 이외의 플래그단자의 표면이 절연층에 의하여 코팅되어 있으므로 방전을 방지하는 것이 가능하게 된다고 한 효과가 나타나는 것이다.
그리고 리셉터클단자에 설치된 도전층이 피급전부인 정전척 또는 히터의 전극부와 함께 코팅할 수 있다. 이 때문에 코팅시 또는 그 후의 패터닝시에 리셉터클단자의 도전층과 각부의 전극을 또는 정전척의 경우의 절연층을 한데모아 형성할 수 있다. 따라서 방전을 발생시키지 않고 감압분위기하에서의 배선이 실행됨으로써 진공분위기와 대기와의 사이에서의 특별한 차단구조를 필요로 하지않게 되는 것으로 구조도 간략화하는 것이 가능하게 되고 이에 의해 배선코스트를 줄일수 있다.
또한 본 실시예에 있어서 리셉터클단자의 접속점은 내저부근방의 측면뿐 아니라 예를 들면 플래그단자의 열팽창이 거의 없고 접촉상태가 변화하지 않는 형태이라면 리셉터클단자의 저 면에 형성하는 것도 가능하고, 이 경우에는 저면에 대해 플래그단자의 선단을 눌러 접속시키는 습성을 가지게 하여 접촉시키면 된다.
그리고 본 실시예에서는 리셉터클단자로서 밑면이 있는 열림구를 구비한 형상이면 되므로 상기 실시예와 같이 캡을 사용할 뿐만 아니라, 예를 들면 플라스마에칭장치에서의 대향전극과 같이 설치대가 도전체인 경우에는 설치대에 밑면이 있는 열림구를 형성하는 홈부를 설치하도록 하여도 된다. 이 경우에는 밑면이 있는 열림구의 저부를 접속점으로 하여 밑면이 있는 열림구의 열림 구단측에 절연층을 형성하는 것으로 상기 예와 동일한 작용을 얻을 수가 있다.
또한 상기 각 실시예에서는 열CVD장치에 대해 나타냈지만 이에 한하지 않고 본 발명 은 플라스마CVD장치를 비롯하여 기타의 진공처리장치 예를 들면 에칭장치, 에싱장치, 스패터장치에 적용하는 것이 가능하다.
또 정전척으로서 2개의 도전체를 전극으로 한 쌍극형의 것을 사용하였지만 제35도에 도시하는 바와 같이 전극으로서 하나의 도전체(24)만을 사용한 단극형의 것을 사용할 수도 있다.
또 제3 실시예에 관한 설치대에 의한 피처리체의 분할가열과 제4 실시예에 관한 샤워 헤드에 의한 처리가스유량의 분할제어를 양쪽겸비한 제36도에 도시하는 장치구성으로 할 수도 있다. 이 경우에는 제어하는 매개변수를 증가시킬 수 있고 성막의 균일성을 한층 증가시킬 수 있 다.
그리고 피처리체는 반도체웨이퍼에 한하는 것이 아니라 예를 들면 LCD기판이여도 된다.
기타 본 발명의 요지를 일탈하지 않는 범위 여러가지의 변형이 가능하다.

Claims (57)

  1. 진공하에서 피처리체의 처리를 하는 처리실(2)과; 상기 처리실(2)내에 설치되어 상기 피처리체를 설치하기 위한 설치면을 가지는 설치부재와; 상기 설치부재의 설치면에 설치된 상기 피처리체를 흡착시키기 위한 정전흡수수단과; 상기 피처리체를 가열하기 위한 가열수단과; 상기 처리실(2)에 피처리체를 처리하기 위한 처리가스를 공급하는 처리가스공급수단; 을 구비하고 상기 설치부재는, 기재(22)와 그 기재(22)의 표면에 형성된 제 1 절연층(23)과, 제 1 절연층(23)위에 설치된 제 2 절연층(27)을 가지고, 상기 설치부재의 상기 설치면쪽에 있어서의 제1절연층(23)과 제 2 절연층(27)과의 사이에 도전층을 갖고 상기 제 1 절연층(23)과 상기 제 2 절연층(27)과 상기 도전층에 의해 상기 정전흡착수단을 구성하고, 상기 가열수단은 상기 설치부재의 설치면과 반대층의 면측에 있어서의 제 1 절연층(23)과 제 2 절연층(27)과의 사이에 설치된 가열체를 가지는 것을 특징으로 하는 진공처리장치.
  2. 제1항에 있어서, 상기 설치부재는 질화붕소(BN) 또는 탄소(C)를 포함하는 것을 특징으로 하는 진공처리장치.
  3. 제1항에 있어서, 상기 설치부재의 제 1 및 제 2 절연층은 화학증착(CVD)막인 것을 특징으로 하는 진공처리장치.
  4. 제1항에 있어서, 상기 설치부재의 제 1 절연층(23)은 열분해성 질화붕소(P-BN), 산화규소(SiO2), 질화알루미늄(AIN), 알루미나(Al2O3) 및 질화규소(SiN)에서 선택된 재료를 포함하는 것을 특징으로 하는 진공처리장치.
  5. 제1항에 있어서, 상기 설치부재의 제2절연층(27)은 열분해성 질화붕소(P-BN), 산화규소(SiO2), 질화알루미늄(AIN), 알루미나(Al2O3) 및 질화규소(SiN)에서 선택된 재료를 포함하는 것을 특징으로 하는 진공처리장치.
  6. 진공하에서 피처리체의 처리를 하는 처리실(2)과; 상기 처리실(2)내에 설치되고, 상기 피처리체를 설치하기 위한 설치면을 가지는 설치부재와; 상기 설치부재의 설치면에 설치된 상기 피처리체를 흡착시키기 위한 정전흡착수단과; 상기 피처리체를 가열하기 위한 가열수단과; 상기 처리실(2)에 피처리체를 처리하기 위한 처리가스를 공급하는 처리가스공급수단; 을 구비하고, 상기 설치부재는, 절연성의 기재(22)와 그 기재(22)의 표면에 형성된 절연층(I)을 가지고, 상기 설치부재의 상기 설치면쪽에 있어서의 기재(22)와 절연층(I)과의 사이에 도전층을 가지며, 상기 기재(22)와 상기 절연층(I)과 상기 도전층에 의해 상기 정전흡착수단을 구성하고, 상기 가열수단은 상기 설치부재의 설치면과 반대측의 면측에 있어서의 기재(22)와 절연층(I)과의 사이에 설치된 가열체를 가지는 것을 특징으로 하는 진공처리장치.
  7. 제6항에 있어서, 상기 설치부재의 절연성의 기재(22)는 질화붕소(BN)를 포함하는 것을 특징으로 하는 진공처리장치.
  8. 제6항에 있어서 상기 설치부재의 제 1 및 제 2 절연층은 화학증착(CVD)막인 것을 특징으로 하는 진공처리장치.
  9. 제6항에 있어서, 상기 설치부재의 절연층(I)은 열분해성 질화붕소(P-BN), 산화규소(SiO2), 질화알루미늄(AIN), 알루미나(Al2O3) 및 질화규소(SiN)에서 선택된 재료를 포함하는 것을 특징으로 하는 진공처리장치.
  10. 진공하에서 피처리체의 처리를 하는 처리실(2)과; 상기 처리실(2)내에 설치되어 상기 피처리체를 설치하기 위한 설치면을 가지는 설치부재와; 상기 피처리체를 가열하기 위한 가열수단과; 상기 처리실(2)에 피처리체를 처리하기 위한 처리가스를 처리가스공급수단; 을 구비하고 상기 설치부재는 기재(22)와 그 기재(22)의 표면에 형성된 절연층(I)을 가지며, 상기 가열수단은 상기 설치부재의 기재(22)의 설치면과 반대측의 면에서 소정간격으로 설치된 나선형 또는 동심형상의 가열체를 가지며, 상기 기재(22)의 두께는 상기 가열체의 간격보다도 크게 설정되어 있는 것을 특징으로 하는 진공처리장치.
  11. 제10항에 있어서, 다시 상기 설치부재의 설치면에 상기 피처리체를 흡착시키기 위한 정전흡착수단을 갖는 것을 특징으로 하는 진공처리장치.
  12. 제10항에 있어서, 상기 설치부재의 기재(22)는 질화붕소(BN)또는 탄소(C)를 포함하는 것을 특징으로 하는 진공처리장치.
  13. 제10항에 있어서, 상기 설치부재의 절연층(I)의 화학증착(CVD)막인 것을 특징으로 하는 진공처리장치.
  14. 제10항에 있어서, 상기 설치부재의 절연층(I)은 열분해성 질화 붕소(P-BN), 산화규소(SiO2), 질화알루미늄(AIN), 알루미나(Al2O3) 및 질화규소(SiN)에서 선택된 재료를 포함하는 것을 특징으로 하는 진공처리장치.
  15. 제10항에 있어서, 상기 절연층(I)은 2층구조를 가지며, 상기 가열체는 이들 2층의 절연층과의 사이에 설치되어 있는 것을 특징으로 하는 진공처리장치.
  16. 진공하에서 피처리체의 처리를 하는 처리실(2)과; 상기 처리실(2)내에 설치되어 상기 피처리체를 설치하기 위한 설치면을 가지는 설치부재와; 상기 피처리체를 가열하기 위한 가열수단과; 상기 처리실(2)에 피처리체를 처리하기 위한 처리가스를 공급하는 처리가스공급수단; 을 구비하고, 상기 가열수단은 상기 설치부재의 설치면과 반대측의 면에 설치된 중앙가열체와 상기 설치부재의 측부에 설치된 측부가열체를 가지는 것을 특징으로 하는 진공처리장치.
  17. 제16항에 있어서, 상기 측부가열체는 상기 설치부재의 측면에 설치되어 있는 것을 특징으로 하는 진공처리장치.
  18. 제16항에 있어서, 상기 측부가열체는 상기 설치부재의 측면 및 그 설치면의 가장자리부에 설치되어 있는 것을 특징으로 하는 진공처리장치.
  19. 제16항에 있어서, 상기 설치부재는 그 하면의 가장자리부에서 아래쪽에 돌출한 링형상의 각부를 가지떠 상기 중앙가열체는 그 각부에 설치되어 있는 것을 특징으로 하는 진공처리장치.
  20. 제16항에 있어서, 다시 상기 설치부재에 삽입된 온도검출부재를 가지며, 상기 설치부재의 온도검출부재가 삽입되는 부분은 그 표면에서 돌출하도록 설치된 통부를 가지는 것을 특징으로 하는 진공처리장치.
  21. 제16항에 있어서 상기 중앙가열체는 소정간격으로 나선형 또는 동심형으로 설치되고 상기 설치부재의 두께는 상기 중앙가열체의 간격보다도 크게 설정되어 있는 것을 특징으로 하는 진공처리장치.
  22. 진공하에서 피처리체의 처리를 하는 처리실(2)과; 상기 처리실(2)내에 설치되고, 상기 피처리체를 설치하기 위한 설치면을 가지는 설치부재와; 상기 설치부재의 설치면에 설치된 상기 피처리체를 흡착시키기 위한 정전흡착수단과; 상기 처리실(2)에 피처리체를 처리하기 위한 처리가스를 공급하는 처리가스공급수단; 을 구비하고, 상기 정전흡착수단은 고전압이 인가되는 전극과, 피처리체와의 사이에 끼워 장치되는 절연층(I)을 가지며, 상기 절연층(I)은, 상기 피처리체의 처리중에 체적고유저항이 106~1012Ω·cm이고, 그 흡착면의 표면조도(Ra)가 0.2~3.1인 것을 특징으로 하는 진공처리장치.
  23. 제22항에 있어서, 상기 절연층(I)은 상기 피처리체의 처리중에 체적고유저항이 1010~1011Ω·cm인 것을 특징으로 하는 진공처리장치.
  24. 제22항에 있어서, 상기 절연층(I)은 그 흡착면의 표면조도(Ra)가 0.8∼1.0인 것을 특징으로 하는 진공처리장치.
  25. 제22항에 있어서, 상기 절연층(I)은 열분해성 질화붕소(P-BN), 산화규소(SiO2), 질화알루미늄(AIN), 알루미나(Al2O3) 및 탄화규소(SiC) 및 질화규소(SiN)에서 선택된 재료를 포함하는 것을 특징으로 하는 진공처리장치.
  26. 진공하에서 피처리체의 처리를 하는 처리실(2)과, 상기 처리실(2)내에 설치되어 상기 피처리체를 설치하기 위한 설치면을 갖는 설치부재와; 상기 피처리체를 가열하기 위한 가열수단과; 상기 가열수단을 제어하기 위한 제어수단과; 상기 처리실(2)에 피처리체를 처리하기 위한 처리가스를 공급하는 처리가스공급수단을 구비하고, 상기 가열수단은 상기 설치부재의 설치면에 동심형으로 형성되는 복수의 영역에 대응하도록 상기 설치부재에 설치된 복수의 가열체를 갖고, 상기 제어수단은 이들 가열체의 출력을 개별적으로 제어하는 것을 특징으로 하는 진공처리장치.
  27. 제26항에 있어서, 상기 설치부재의 설치면에 형성된 복수의 영역의 각 온도를 검출하는 온도검출수단을 가지며 상기 제어수단은 그 온도검출수단의 검출값에 의거하여 상기 가열체의 출력을 제어하는 것을 특징으로 하는 진공처리장치.
  28. 제26항에 있어서, 상기 설치면에 피처리체가 설치된 때에 피처리체의 이면에 전열매체를 공급하는 전열매체공급수단을 가지는 것을 특징으로 하는 진공처리장치.
  29. 진공하에서 피처리체의 처리를 하는 처리실(2)과; 상기 처리실(2)내에 설치되어 상기 피처리체를 설치하기 위한 설치면을 갖는 설치부재와; 상기 피처리체를 가열하기 위한 가열수단과; 상기 처리실(?)에 피처리체를 처리하기 위한 처리가스를 공급하는 처리가스공급수단과; 처리가스공급수단에서의 처리가스동급량을 제어하기 위한 제어수단; 을 구비하고, 상기 처리가스공급수단은 상기 피처리체에 대향하여 설치되고, 동심형으로 구획된 복수의 가스토출영역을 가지는 처리가스도입부재를 갖고, 상기 제어수단은 각 영역에서의 가스토출량을 개별적으로 제어하는 것을 특징으로 하는 진공처리장치.
  30. 제29항에 있어서, 상기 처리가스도입부재는 상기 복수의 가스토출영역에 대응하는 동심형으로 설치된 복수의 환상공간과 이들 환상공간에서 상기 피처리체측으로 관통하는 복수의 가스토출구멍을 가지는 것을 특징으로 하는 진공처리장치.
  31. 제30항에 있어서, 상기 각 환상공간의 수평단면적이 실질적으로 동일한 것을 특징으로 하는 진공처리장치.
  32. 진공하에서 피처리체의 처리를 하는 처리실(2)과, 상기 처리실(2)내에 설치되어 상기 피처리체를 설치하기 위한 설치면을 가지는 설치부재와; 피처리체의 처리시에 전력이 공급되는 피급전부와; 밑면이 있는 열림구부와, 그 기단측에 설치된 접속점과, 그 열림구단측에 형성된 절연피복을 갖고, 상기 피급전부에 전기적으로 접속되는 리셉터클단자(302)와; 그 리셉터클단자(302)에 눌러넣을 수 있고, 눌러넣었을 때 상기 접속점에 접촉하는 플래그 단자(304); 를 구비하는 것을 특징으로 하는 진공처리장치.
  33. 제32항에 있어서, 상기 피급전부는 상기 설치부재에 설치된 가열체인 것을 특징으로 하는 진공처리장치.
  34. 제32항에 있어서, 상기 피급전부는 상기 설치부재의 설치면에 설치된 상기 피처리체를 흡착하기 위한 정전척인 것을 특징으로 하는 진공처리장치.
  35. 제32항에 있어서, 상기 리셉터클단자(302)는 상기 피급전부가 설치되는 부재에 나사결합하고 있는 것을 특징으로 하는 진공처리장치.
  36. 제32항에 있어서, 상기 리셉터클단자(302)의 상기 열림구부에 있어서 상기 접속점이외의 영역에 절연성 코팅층이 형성되어 있는 것을 특징으로 하는 진공처리장치.
  37. 피처리체를 설치하기 위한 설치면을 가지는 설치부재와; 상기 설치부재의 설치면에 설치된, 상기 피처리체를 흡착시키기 위한 정전흡착수단과; 상기 피처리체를 가열하기 위한 가열수단; 을 구비하고, 상기 설치부재는 기재(22)와 그 기재(22)의 표면에 형성된 제 1 절연층(23)과 제 1 절연층(23)위에 설치된 제 2 절연층(27)을 갖고, 상기 설치부재의 상기 설치면측에 있어서의 제 1 절연층(23)과 제 2 절연층(27)과의 사이에 도전층을 갖고, 상기 제 1 절연층(23)과 상기 제 2 절연층(27)과 상기 도전층에 의해 상기 정전흡착수단을 구성하고, 상기 가열수단은 상기 설치부재의 설치면과 반대측의 면측에 있어서의 제 1 절연층(23)과 제 2 절연층(27)과의 사이에 설치된 가열체를 갖는 정전흡착기능 및 가열기능을 갖는 것을 특징으로 하는 설치대.
  38. 제37항에 있어서, 상기 설치부재의 기재(22)는 질화붕소(BN) 또는 탄소(C)를 포함하는 것을 특징으로 하는 설치대.
  39. 제37항에 있어서, 상기 설치부재의 제 1 및 제 2 절연층은 화학증착(CVD)막인 것을 특징으로 하는 설치대.
  40. 제37항에 있어서, 상기 설치부재의 제 1 절연층(23)은 열분해성 질화붕소(P-BN), 산화규소(SiO2), 질화알루미늄(AIN), 알루미나(Al2O3)및 질화규소(SiN)에서 선택된 재료를 포함하는 것을 특징으로 하는 설치대.
  41. 제37항에 있어서, 상기 설치부재의 제2절연층(27)은 열분해성 질화붕소(P-BN), 산화규소(SiO2), 질화알루미늄(AIN), 알루미나(Al2O3) 및 질화규소(SiN)에서 선택된 재료를 포함하는 것을 특징으로 하는 설치대.
  42. 피처리체를 설치하기 위한 설치면을 가지는 설치부재와; 상기 설치부재의 설치면에 설치된, 상기 피처리체를 흡착시키기 위한 정전흡착수단과; 상기 피처리체를 가열하기 위한 가열수단; 을 구비하고, 상기 설치부재는 절연성의 기재(22)와 그 기재(22)의 표면에 형성된 절연층(I)을 가지며, 상기 설치부재의 상기 설치면측에 있어서의 기재(22)와 절연층(I)과의 사이에 도전층(302D)을 갖고, 상기 기재(22)와 상기 절연층(I)과 상기 도전층(302D)에 의해 상기 정전흡착수단을 구성하고, 상기 가열수단은 상기 설치부재의 설치면과 반대측의 면측에 있어서의 기재(22)와 절연층(I)과의 사이에 설치된 가열체를 가지는, 정전흡착기능 및 가열기능 갖는 것을 특징으로 하는 설치대.
  43. 제42항에 있어서, 상기 설치부재의 절연성의 기재(22)는 질화붕소(BN)를 포함하는 것을 특징으로 하는 설치대.
  44. 제42항에 있어서 상기 설치부재의 제 1 및 제 2 절연층(23,27)은 화학증착(CVD)막인 것을 특징으로 하는 설치대.
  45. 제42항에 있어서, 상기 설치부재의 절연층(I)은 열분해성 질화붕소(P-BN), 산화규소(SiO2), 질화알루미늄(AIN), 알루미나(Al2O3) 및 질화규소(SiN)에서 선택된 재료를 포함하는 것을 특징으로 하는 설치대.
  46. 피처리체를 설치하기 위한 설치면을 가지는 설치부재와; 상기 설치부재의 설치면에 설치된, 상기 피처리체를 흡착시키기 위한 정전흡착수단과; 상기 피처리체를 가열하기 위한 가열수단; 을 구비하고, 상기 설치부재는 기재(22)와 그 기재(22)의 표면에 형성된 절연층(I)을 가지며, 상기 가열수단은 상기 설치부재의 기재(22)의 설치면과 반대측의 면에 소정간격으로 설치된 나선형 또는 동심형의 가열체를 가지며, 상기 기재(22)의 두께는 상기 가열체의 간격보다도 크게 설정되어 있는 정전흡착기능 및 가열기능 갖는 것을 특징으로 하는 설치대.
  47. 제46항에 있어서, 상기 설치부재의 기재(22)는 질화붕소(BN)또는 탄소(C)를 포함하는 것을 특징으로 하는 설치대.
  48. 제46항에 있어서, 상기 설치부재의 절연층(I)은 화학증착(CVD)막인 것을 특징으로 하는 설치대.
  49. 제46항에 있어서, 상기 설치부재의 절연층(I)은 열분해성 질화붕소(P-BN), 산화규소(SiO2), 질화알루미늄(AIN), 알루미나(Al2O3) 및 질화규소(SiN)에서 선택된 재료를 포함하는 것을 특징으로 하는 설치대.
  50. 제46항에 있어서, 상기 절연층(I)은 2층구조를 가지며 상기 가열체는 이들 2층의 절연층사이에 설치되어 있는 것을 특징으로 하는 설치대.
  51. 제46항에 있어서, 상기 가열수단은 상기 설치부재의 설치면과 반대측의 면에 설치된 중앙가열체와 상기 설치부재의 측부에 설치된 측부가열체를 가지는 것을 특징으로 하는 설치대.
  52. 제51항에 있어서, 상기 측부가열체는 상기 설치부재의 측면에 설치되어 있는 것을 특징으로 하는 설치대.
  53. 제51항에 있어서, 상기 측부가열체는 상기 설치부재의 측면 및 그 설치면의 가장자리부에 설치되어 있는 것을 특징으로 하는 설치대.
  54. 제46항에 있어서, 상기 절연층(I)은 상기 피처리체의 처리중에 체적고유저항이 106~1012Ω·㎝이고, 그 흡착면의 표면조도(Ra)가 0.2~3.1인 것을 특징으로 하는 설치대.
  55. 제54항에 있어서, 상기 절연층(I)은 상기 피처리체의 처리중에 체적고유저항이 1010~1011Ω·cm인 것을 특징으로 하는 설치대.
  56. 제54항에 있어서, 상기 절연층(I)은 상기 흡착면의 표면조도(Ra)가 0.8~1.0인 것을 특징으로 하는 설치대.
  57. 제54항에 있어서, 상기 절연층(I)은 열분해성 질화붕소(P-BN), 산화규소(SiO2), 질화알루미늄(AIN), 알루미나(Al2O3) 및 질화규소(SiN)에서 선택된 재료를 포함하는 것을 특징으로 하는 설치대.
KR1019940014674A 1993-06-24 1994-06-24 진공처리장치 KR0155601B1 (ko)

Applications Claiming Priority (12)

Application Number Priority Date Filing Date Title
JP18085193 1993-06-24
JP93-180851 1993-06-24
JP18550293A JP3342118B2 (ja) 1993-06-29 1993-06-29 処理装置
JP93-185503 1993-06-29
JP93-185502 1993-06-29
JP18550393 1993-06-29
JP93-185519 1993-06-29
JP18551993 1993-06-29
JP26441293 1993-09-28
JP93-264412 1993-09-28
JP94-31831 1994-02-03
JP3183194 1994-02-03

Publications (2)

Publication Number Publication Date
KR950001879A KR950001879A (ko) 1995-01-04
KR0155601B1 true KR0155601B1 (ko) 1998-12-01

Family

ID=27549620

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940014674A KR0155601B1 (ko) 1993-06-24 1994-06-24 진공처리장치

Country Status (2)

Country Link
US (1) US5591269A (ko)
KR (1) KR0155601B1 (ko)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101107919B1 (ko) * 2004-02-26 2012-01-25 어플라이드 머티어리얼스, 인코포레이티드 Rf 전극
KR101248182B1 (ko) * 2004-02-26 2013-03-27 어플라이드 머티어리얼스, 인코포레이티드 Feol 제조를 위한 인시튜 세정 챔버
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations

Families Citing this family (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69635908T2 (de) * 1995-08-03 2006-11-23 Ngk Insulators, Ltd., Nagoya Gesinterte Aluminiumnitridkörper und deren Verwendung als Subtrat in einer Vorrichtung zur Herstellung von Halbleitern
US6181555B1 (en) 1995-09-29 2001-01-30 Intel Corporation Cooling system for integrated circuit chips in a portable computer
US5847918A (en) * 1995-09-29 1998-12-08 Lam Research Corporation Electrostatic clamping method and apparatus for dielectric workpieces in vacuum processors
JP3005461B2 (ja) * 1995-11-24 2000-01-31 日本電気株式会社 静電チャック
JPH09157846A (ja) * 1995-12-01 1997-06-17 Teisan Kk 温度調節装置
JPH09172055A (ja) * 1995-12-19 1997-06-30 Fujitsu Ltd 静電チャック及びウエハの吸着方法
US6175485B1 (en) * 1996-07-19 2001-01-16 Applied Materials, Inc. Electrostatic chuck and method for fabricating the same
US5835334A (en) * 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US6392431B1 (en) * 1996-10-23 2002-05-21 Aetrium, Inc. Flexibly suspended heat exchange head for a DUT
US5886864A (en) * 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US5885428A (en) * 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
JP3406488B2 (ja) * 1997-09-05 2003-05-12 東京エレクトロン株式会社 真空処理装置
US6207006B1 (en) 1997-09-18 2001-03-27 Tokyo Electron Limited Vacuum processing apparatus
DE69842191D1 (de) * 1997-11-05 2011-05-05 Tokyo Electron Ltd Halbleiterscheibenhaltevorrichtung
JP3374743B2 (ja) * 1998-03-05 2003-02-10 日本電気株式会社 基板熱処理装置及び同装置からの基板の分離方法
JPH11343571A (ja) * 1998-05-29 1999-12-14 Ngk Insulators Ltd サセプター
US6147334A (en) * 1998-06-30 2000-11-14 Marchi Associates, Inc. Laminated paddle heater and brazing process
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
KR20010101716A (ko) * 1999-01-29 2001-11-14 히가시 데쓰로 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2000243542A (ja) * 1999-02-24 2000-09-08 Nhk Spring Co Ltd ヒータユニット及びその製造方法
US6094334A (en) * 1999-03-02 2000-07-25 Applied Materials, Inc. Polymer chuck with heater and method of manufacture
JP3356115B2 (ja) * 1999-05-20 2002-12-09 ウシオ電機株式会社 レジスト硬化装置
US6395095B1 (en) 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6410172B1 (en) * 1999-11-23 2002-06-25 Advanced Ceramics Corporation Articles coated with aluminum nitride by chemical vapor deposition
US20030029833A1 (en) * 2000-03-20 2003-02-13 Johnson Wayne L High speed photoresist stripping chamber
US6887316B2 (en) * 2000-04-14 2005-05-03 Ibiden Co., Ltd. Ceramic heater
US6967177B1 (en) * 2000-09-27 2005-11-22 Lsi Logic Corporation Temperature control system
TWI272689B (en) * 2001-02-16 2007-02-01 Tokyo Electron Ltd Method and apparatus for transferring heat from a substrate to a chuck
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
WO2002089531A1 (en) 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6577113B2 (en) 2001-06-06 2003-06-10 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
JP4147017B2 (ja) * 2001-10-19 2008-09-10 東京エレクトロン株式会社 マイクロ波プラズマ基板処理装置
US20030121898A1 (en) * 2001-11-26 2003-07-03 Tom Kane Heated vacuum support apparatus
JP2004055608A (ja) * 2002-07-16 2004-02-19 Sumitomo Osaka Cement Co Ltd 電極内蔵型サセプタ
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US7372001B2 (en) 2002-12-17 2008-05-13 Nhk Spring Co., Ltd. Ceramics heater
US6709267B1 (en) 2002-12-27 2004-03-23 Asm America, Inc. Substrate holder with deep annular groove to prevent edge heat loss
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
JP4247739B2 (ja) * 2003-07-09 2009-04-02 Toto株式会社 静電チャックによるガラス基板の吸着方法および静電チャック
JP4309714B2 (ja) * 2003-08-27 2009-08-05 信越化学工業株式会社 静電吸着機能を有する加熱装置
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
JP2007088411A (ja) * 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
JP4433478B2 (ja) * 2005-08-01 2010-03-17 住友電気工業株式会社 加熱装置およびそれを搭載したウェハプローバ
US20090139979A1 (en) * 2005-08-19 2009-06-04 Tokyo Electron Limited Placing table structure, method for manufacturing placing table structure and heat treatment apparatus
US20070054045A1 (en) * 2005-08-25 2007-03-08 Hsienting Hou Method for conditioning chemical vapor deposition chamber
DE102005056536A1 (de) * 2005-11-28 2007-05-31 Aixtron Ag CVD-Reaktor mit widerstandsbeheiztem Suszeptor
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US20070266945A1 (en) * 2006-05-16 2007-11-22 Asm Japan K.K. Plasma cvd apparatus equipped with plasma blocking insulation plate
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
DE102007027704A1 (de) * 2007-06-15 2008-12-18 Aixtron Ag Vorrichtung zum Beschichten von auf einem Suszeptor angeordneten Substraten
JP4975605B2 (ja) * 2007-12-26 2012-07-11 東京エレクトロン株式会社 処理システム、処理システムの制御方法およびソフトウェアのバージョンアップ方法
JP4898718B2 (ja) * 2008-02-08 2012-03-21 東京エレクトロン株式会社 載置台及びプラズマ処理装置
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
JP5378416B2 (ja) * 2009-01-09 2013-12-25 株式会社アルバック プラズマ処理装置
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
US8879233B2 (en) 2009-05-15 2014-11-04 Entegris, Inc. Electrostatic chuck with polymer protrusions
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
JP5835722B2 (ja) 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN102986017B (zh) 2010-05-28 2015-09-16 恩特格林斯公司 高表面电阻率静电吸盘
US20110315081A1 (en) * 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US8791392B2 (en) * 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
CN104637838B (zh) * 2013-11-15 2018-06-26 中微半导体设备(上海)有限公司 一种半导体处理装置
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9543171B2 (en) 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102000852B1 (ko) * 2014-11-12 2019-07-16 도쿄엘렉트론가부시키가이샤 스테이지 및 기판 처리 장치
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP2018063974A (ja) 2016-10-11 2018-04-19 東京エレクトロン株式会社 温度制御装置、温度制御方法、および載置台
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
DE102020103947A1 (de) 2020-02-14 2021-08-19 AIXTRON Ltd. CVD-Reaktor und Verfahren zum Handhaben einer Prozesskammer-Deckenplatte
US20220127723A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. High heat loss heater and electrostatic chuck for semiconductor processing

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5267353A (en) * 1975-12-01 1977-06-03 Hitachi Ltd Electrostatic chuck
JPS59124140A (ja) * 1982-12-29 1984-07-18 Fujitsu Ltd 静電吸着装置
JPS63216283A (ja) * 1987-03-03 1988-09-08 東京エレクトロン株式会社 加熱装置
JP2779052B2 (ja) * 1990-09-13 1998-07-23 信越化学工業株式会社 複層セラミックス・ヒーター
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
JP3081279B2 (ja) * 1991-06-03 2000-08-28 電気化学工業株式会社 ホットプレート
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
JP3155792B2 (ja) * 1991-11-01 2001-04-16 電気化学工業株式会社 ホットプレート

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101107919B1 (ko) * 2004-02-26 2012-01-25 어플라이드 머티어리얼스, 인코포레이티드 Rf 전극
KR101148431B1 (ko) * 2004-02-26 2012-05-23 어플라이드 머티어리얼스, 인코포레이티드 Feol 제조를 위한 인시튜 세정 챔버
KR101248182B1 (ko) * 2004-02-26 2013-03-27 어플라이드 머티어리얼스, 인코포레이티드 Feol 제조를 위한 인시튜 세정 챔버
KR101324651B1 (ko) * 2004-02-26 2013-11-01 어플라이드 머티어리얼스, 인코포레이티드 지지 어셈블리
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current

Also Published As

Publication number Publication date
US5591269A (en) 1997-01-07
KR950001879A (ko) 1995-01-04

Similar Documents

Publication Publication Date Title
KR0155601B1 (ko) 진공처리장치
JP4955539B2 (ja) シャワーヘッド電極及びヒータを備えるプラズマ処理用の装置
KR102471635B1 (ko) 극도의 균일성의 가열식 기판 지지 조립체
US9853579B2 (en) Rotatable heated electrostatic chuck
KR102383357B1 (ko) 배치대 및 기판 처리 장치
JP4980890B2 (ja) シャワーヘッド電極アセンブリ、真空室及びプラズマエッチングの制御方法
JP4256482B2 (ja) 高温の静電チャックから下側の低温体に伝熱するための装置及び方法
US6022418A (en) Vacuum processing method
KR19980063671A (ko) 기판의 균일 가열을 위한 기판 지지부재
EP3555910B1 (en) Rotatable electrostatic chuck having backside gas supply
KR100208815B1 (ko) 처리장치
WO2017075474A1 (en) Biasable rotatable electrostatic chuck
JP2010157559A (ja) プラズマ処置装置
JP3647064B2 (ja) 真空処理装置およびそれに用いる載置台
JP3446772B2 (ja) 載置台および減圧処理装置
JP3181364B2 (ja) プラズマ処理装置
JP2005117064A (ja) 真空処理装置
US20220127723A1 (en) High heat loss heater and electrostatic chuck for semiconductor processing

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120621

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20130621

Year of fee payment: 16

EXPY Expiration of term