JPH11343571A - サセプター - Google Patents

サセプター

Info

Publication number
JPH11343571A
JPH11343571A JP10149573A JP14957398A JPH11343571A JP H11343571 A JPH11343571 A JP H11343571A JP 10149573 A JP10149573 A JP 10149573A JP 14957398 A JP14957398 A JP 14957398A JP H11343571 A JPH11343571 A JP H11343571A
Authority
JP
Japan
Prior art keywords
transfer layer
heat transfer
planar
planar heat
susceptor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP10149573A
Other languages
English (en)
Inventor
Kuroaki Ohashi
玄章 大橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NGK Insulators Ltd
Original Assignee
NGK Insulators Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NGK Insulators Ltd filed Critical NGK Insulators Ltd
Priority to JP10149573A priority Critical patent/JPH11343571A/ja
Priority to TW088104116A priority patent/TW456157B/zh
Priority to US09/314,367 priority patent/US6160244A/en
Priority to KR1019990017967A priority patent/KR100295145B1/ko
Priority to EP99304203A priority patent/EP0962961A3/en
Publication of JPH11343571A publication Critical patent/JPH11343571A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Resistance Heating (AREA)
  • Surface Heating Bodies (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】面状伝熱層とこれを支持する支持管等を備えて
いるサセプターにおいて、面状伝熱層の加熱面における
温度分布を小さくする。 【解決手段】サセプター1Aは、面状伝熱層3A、面状
伝熱層3Aを背面4b側から支持する支持部材12、第
一の熱遮蔽部7A、および第二の熱遮蔽部25Aを備え
ている。面状伝熱層3Aは、被加熱物2を加熱する加熱
面4aと、背面4bとを備えている。第一の熱遮蔽部7
Aは、面状伝熱層3Aの背面4bと支持部材12との間
に設けられており、面状伝熱層の背面から支持部材へと
向かう熱流を制限する。第二の熱遮蔽部25Aは、第一
の熱遮蔽部7Aと支持部材12との間に設けられてお
り、第一の熱遮蔽部から支持部材への熱流を制限する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体ウエハー、
液晶パネル、太陽電池用のシリコン単結晶ウエハーなど
を支持し、加熱するためのサセプターに関するものであ
る。
【0002】
【従来の技術】半導体素子、液晶パネル、シリコン単結
晶ウエハーなどを支持し、加熱するためのサセプターに
おいては、サセプター中に発熱素子を埋め込み、サセプ
ターを細長い支持棒や支持管ないし保護管内に収容する
タイプが提案されている。
【0003】
【発明が解決しようとする課題】最近、半導体素子を得
るためのウエハーの口径を大きくし、あるいは液晶パネ
ルを大型化しようという要望が高まっており、このため
にサセプターの大型化が求められている。このため、サ
セプターの面状伝熱層の直径は、例えば直径φ300m
m以上とすることが求められてきている。しかし、面状
伝熱層の直径が大きくなると、面状伝熱層の加熱面の温
度の均一性を保持することが非常に困難になってきてお
り、解決困難な問題をもたらしている。なぜなら、面状
伝熱層の加熱面の温度分布にむらが生ずると、不良品が
発生するからである。特に、サセプターを大型化するた
めに、複数、例えば3本の支持棒や保護管を面状伝熱層
に取り付けると、このような加熱面の温度の不均一が特
に大きくなっていた。
【0004】本発明の課題は、面状伝熱層とこれを支持
する支持管等を備えているサセプターにおいて、面状伝
熱層の加熱面における温度分布を小さくできるようにす
ることである。
【0005】
【課題を解決するための手段】本発明は、被加熱物を加
熱する加熱面と背面とを備える面状伝熱層、面状伝熱層
を背面側から支持する支持部材、面状伝熱層の背面と支
持部材との間に設けられており、面状伝熱層の背面から
支持部材へと向かう熱流を制限する第一の熱遮蔽部、お
よび、第一の熱遮蔽部と支持部材との間に設けられてお
り、第一の熱遮蔽部から支持部材への熱流を制限する第
二の熱遮蔽部を備えていることを特徴とする。
【0006】本発明者は、サセプターを大型化したとき
や、支持管、支持棒を面状伝熱層に複数設けた場合に、
加熱面の温度の偏差が大きくなる理由について検討し
た。通常、サセプターの加熱面の温度を均一にするため
には、面状伝熱層内の発熱体の平面的パターンの設計を
最適にする。しかし、支持棒や支持管などを通過してサ
セプター外へと逃げる熱量は、周囲温度、使用条件、そ
して目的とする温度領域に応じて、著しく変動する。こ
のため、例えばある温度領域やある条件下で、加熱面の
温度分布を最適化できるように発熱体の平面的パターン
を設計し、製造した場合であっても、使用条件、使用温
度が変化すると、加熱面の温度分布が著しく劣化する傾
向があった。
【0007】本発明者は、面状伝熱層の背面と支持部材
との間に、第一の熱遮蔽部と第二の熱遮蔽部とを設け、
第一の熱遮蔽部によって、面状伝熱層の背面から支持部
材へと向かう熱流を制限し、第二の熱遮蔽部によって、
第一の熱遮蔽部から支持部材への熱流を制限することを
想到した。このように2段階で熱遮蔽層を設けることに
よって、面状伝熱層の加熱面の温度の均一性が向上し、
従来よりも幅広い温度範囲や使用条件において、規定範
囲内の良好な温度分布を実現することができた。特に、
面状伝熱層の直径を大きくした場合や、面状伝熱層に複
数の支持部材を取り付けた場合に、加熱面の温度分布が
著しく改善された。
【0008】本発明は、大型のサセプターに対して特に
好適であり、特には面状伝熱層の直径が300mm以上
のサセプターに対して好適である。また、支持棒、支持
管等の支持部材の個数にも制限はないが、支持部材が複
数である場合には、2個以上、3個以下の場合に特に有
効であり、4個以上、20個以下の場合にとりわけ有用
である。
【0009】面状伝熱層を赤外線ランプ等の外部熱源に
よって加熱することもできる。しかし、好適な態様にお
いては、面状伝熱層が、基体と、この基体中に設けられ
ている発熱素子とを備えている。この場合には、第一の
熱遮蔽部および第二の熱遮蔽部が、面状伝熱層中の発熱
素子を、サセプターの周囲の雰囲気から保護するシール
部分として機能するので、発熱素子の寿命が長くなる。
【0010】更に好適な態様においては、基体に、背面
側に開口する溝が設けられており、この溝の中に発熱素
子が埋め込まれている。
【0011】面状伝熱層の基体、支持部材、後述する背
面板の各材質は、次のものが好ましい。 (1)窒化アルミニウム、アルミナ、ムライト等のセラ
ミックス (2)アルミニウム合金、ステンレス鋼、コバール、鉄
−ニッケル系の低膨張合金(例えばFe−42Ni)、
超合金、Fe−Cr−Al系耐熱合金、Ni−Cr系耐
熱合金等の合金類 (3)(1)の各セラミックスと(2)の各合金との複
合材料
【0012】このうち、セラミックスと金属との複合材
料は、セラミックス基複合材料、金属基複合材料の双方
を含む。例えば、溶融金属をセラミックス製プリフォー
ム中に浸透させたもの、粉末冶金的方法(粉末成形+焼
成)で作成したものがある。セラミックスプリフォーム
中への金属の浸透は、加圧下で行う場合と、浸透助剤を
使用して無加圧下または常圧下で行う場合とがある。粉
末冶金的方法を採用する場合には、粉末の成形体中に焼
結助剤を含有させることができる。
【0013】特に好適な複合材料について述べる。プリ
フォームを構成するセラミックスは、アルミニウムまた
はアルミニウム合金が浸透可能であれば限定されない
が、アルミニウム系セラミックスが好ましく、アルミ
ナ、窒化アルミニウムが特に好ましい。
【0014】プリフォームを製造するには、例えば、所
定のセラミックス粒子を、イソプロパノールなどの溶媒
に分散させた後、液状アクリル共重合物バインダなどの
有機バインダーと混合させ、大型ポットミルで2−40
時間攪拌混合して、スラリーを形成する。その後、スラ
リーを防爆型スプレードライ機を用いて、粒径30−1
00μmに造粒する。次いで、造粒粉末を所定の金型に
入れ、油圧プレス機などにより200−7000kgf
/cm2 の圧力で加圧成形することにより、プリフォー
ムを製造する。
【0015】なお、有機バインダーによってスラリーを
製造する代わりに、セラミックス粒子にエタノールなど
を噴霧により混合させた粉末を得、これを上記と同様に
加圧成形することによって、プリフォームを製造するこ
ともできる。
【0016】プリフォームにアルミニウムまたはアルミ
ニウム合金を浸透させる際には、例えば、自発浸透法、
加圧浸透法、真空浸透法を採用できる。
【0017】好ましくは、第一の熱遮蔽部が背面板を備
えており、この背面板の熱伝導率が、面状伝熱層の熱伝
導率の1/2以下(特に好ましくは1/8以下)であ
り、この背面板によって、面状伝熱層の背面側からの熱
流を制限する。
【0018】面状伝熱層、支持部材、および必要に応じ
て背面板を互いに一体化する方法は、特に制限されない
が、ろう付け、ハンダ付けが好ましい。また、面状伝熱
層、背面板、支持部材などの各構造部材の間に、メタル
シール部材を挿入し、各構造部材をボルトによって締結
できる。
【0019】サセプターの各構成部材を、ろう付けやハ
ンダ付けし、これらの金属からなる接合層によって接合
した場合には、この接合層の面積を減らすことによっ
て、第一の熱遮蔽部、第二の熱遮蔽部における熱流を制
限できる。
【0020】この場合に特に好ましくは、第一の熱遮蔽
部が、背面板と、この背面板を面状伝熱層に接合する第
一の接合層とを備えており、第一の接合層の面積を1と
したとき、面状伝熱層の背面の面積が3−300であ
る。
【0021】また好ましくは、背面板と支持部材とが直
接にまたは断熱部材を介して第二の接合層によって接合
されており、第二の接合層の面積を1としたときの背面
板の支持部材側の面積が20−10000である。
【0022】この断熱部材は、次のいずれかのものであ
る。 (1)断熱部材の熱伝導率が、背面板の熱伝導率の1/
2以下である。 (2)断熱部材の中央部に空洞ないし貫通孔が設けられ
ているか、断熱部材の表面に溝が設けられているため
に、断熱部材を伝導する熱流が減少している。
【0023】本発明の好適な態様においては、面状伝熱
層が導電性材料からなり、少なくとも面状伝熱層の加熱
面が誘電層によって被覆されており、面状伝熱層と被加
熱物との間に直流電圧を印加することによって面状伝熱
層に対して被加熱物を吸着できるように構成されてい
る。これによって、特に面積の大きな(例えば700平
方センチメートル以上)の加熱面を備える大型の静電チ
ャックを提供できる。
【0024】他の好適な態様においては、面状伝熱層、
第一の熱遮蔽部、第二の熱遮蔽部および支持部材が導電
性を有しており、支持部材がアースされている。これに
よって、別にアース線を設ける必要がない。
【0025】サセプターの各構成部材を互いにろう付け
する際には、ろう材は特に限定されないが、ハロゲン系
腐食性ガスに対する耐蝕性の点で、アルミニウム合金ろ
う、金合金ろう、銅合金ろうが好ましい。特に、構成部
材にアルミニウム/金属複合材料を使用する場合には、
アルミニウム合金ろうが好ましい。
【0026】アルミニウム合金ろうにおいては、好まし
くは、アルミニウム合金中に、マグネシウム、チタン、
ジルコニウムおよびハフニウムからなる群より選ばれた
一種以上の活性金属が添加されており、アルミニウム含
有量が70mol%以上であるアルミニウム合金からな
る。
【0027】好ましくは、このアルミニウム合金は、マ
グネシウム、チタン、ジルコニウムおよびハフニウムか
らなる群より選ばれた一種以上の活性金属(特に好まし
くはマグネシウム)を、1mol%以上、10mol%
以下含有する。
【0028】活性金属の割合を1mol%以上とするこ
とによって、基材中の金属成分や強化材との親和性が向
上する。活性金属の割合を10mol%以下とすること
によって、脆化の原因となる金属間化合物等の局所的な
生成を抑制することができる。
【0029】なお、合金ろう中のアルミニウムの含有量
は、金属の全含有量を100mol%とした場合に、活
性金属成分の含有量および後述する第3成分の含有量の
合計を、100mol%から差し引いた残部である。
【0030】合金ろう中には、第3成分を含有させるこ
とができる。第3成分として珪素またはホウ素を用いる
ことが、アルミニウムに影響を与えない点から好まし
い。こうした第3成分の作用は、融点の降下である。同
じ温度でも、第3成分を添加することによって、ろうの
流動性が良くなる。第3成分の含有割合は、1.5−1
0mol%とすると、更に好ましい。
【0031】更に、ろうを構成する合金は、マグネシウ
ムを1−6mol%含有し、珪素を1.5−10mol
%含有していることが好ましい。
【0032】ろう付けの前に、各構成部材の接合面に、
マグネシウム、チタン、ジルコニウムおよびハフニウム
からなる群より選ばれた一種以上の金属からなる膜を、
スパッタ、蒸着、摩擦圧接、メッキ等の方法により設け
ることができる。また、各構成部材の各接合面の間に、
マグネシウム、チタン、ジルコニウムおよびハフニウム
からなる群より選ばれた一種以上の金属からなる箔を介
在させることができる。
【0033】また、ろう付けの際の熱処理の前に、各構
成部材の各接合面を酸溶液またはアルカリ溶液で洗浄す
ることによって、各接合面上の酸化膜と窒化膜との少な
くとも一方を除去することが好ましい。
【0034】発熱素子は、次のものが好ましい。 (1)線状あるいはリボン状のモリブデンシリサイド、
ニッケル−クロム合金、ニッケル−クロム−アルミニウ
ム合金を発熱体とし、この発熱体の表面に、セラミック
スボンドやセメント(ポルトランドセメントやアルミナ
セメントを含む)類を塗布し、固化させたもの。発熱体
の表面に、セラミック質の粉末や金属アルコキシドのゾ
ルゲルコーティングを施すこともできる。 (2)酸化マグネシウム、窒化アルミニウム等の絶縁性
セラミックス粒子を充填したステンレス鋼のパイプ等の
中央空洞に、(1)で述べた発熱体を埋設したもの(い
わゆるシースヒーター)。
【0035】発熱素子の平面的パターンは、一ゾーンで
も二ゾーンでも良いが、一ゾーンが特に好ましい。
【0036】面状伝熱層、背面板、支持部材などを被覆
する被膜の材質は、窒化アルミニウム、アルミナ、ムラ
イト、炭化ホウ素、フッ化アルミニウム、希土類および
/またはアルカリ土類金属元素のフッ化物、イットリア
安定化ジルコニア等のイットリア−アルミナ系等のセラ
ミックス質材料、およびこれらの各材質を複合化した複
合材料であることが好ましい。被膜を形成する方法とし
ては、粉末の塗布および焼き付け、溶射法、ゾルゲル法
が好ましい。また、サセプターの構成部材の材質とし
て、窒化アルミニウム/アルミニウム、アルミニウム/
炭化珪素等のアルミニウム合金基複合材料を使用する場
合には、構成部材を酸化処理することによって、構成部
材の表面にアルミナ膜を生成させることができる。
【0037】サセプターの耐熱サイクル性の観点から
は、サセプターの構成部材とその被膜との間の熱膨張係
数の差を、1×10-6/℃以下とすることが好ましい。
【0038】図1は、一実施形態に係るサセプター1A
を概略的に示す縦断面図であり、図2(a)は、背面板
8の平面図であり、図2(b)は、背面板8の断面図で
あり、図3は支持管12の縦断面図であり、図4(a)
は基体4の背面4b側の接合層のパターンを示す平面図
であり、図4(b)は、基体4の溝の周辺の形態を拡大
して示す要部断面図である。
【0039】サセプター1Aは、面状伝熱層3A、第一
の熱遮蔽部7A、第二の熱遮蔽部25Aおよび支持部材
12からなる。面状伝熱層3Aにおいては、平板形状の
基体4の加熱面4aと側面とが被膜6Aによって被覆さ
れている。加熱面4a上には被加熱物2が設置される。
基体4中には、図4(a)に示す平面的パターンの溝1
5が形成されており、溝15は背面4b側に開口してい
る。溝15中には、発熱素子5が収容されている。4c
は、電力供給ケーブルや熱電対などを挿通するための貫
通孔である。
【0040】面状伝熱層3Aの背面4b側には、背面板
8が第一の接合層9によって接合されている。接合層9
は、背面板8および背面4bの全面には介在しておら
ず、図4(a)に示す平面的パターンに従って設けられ
ている。10は、基体4と背面板8との間の空隙であ
る。この結果、第一の接合層9の面積を1としたとき、
背面4bの面積が3−300である。図4(b)に示す
ように、溝15において、発熱素子5の下側にはスペー
サー16Aが収容されており、スペーサー16Aが背面
板8の表面8aに接触している。これによって、サセプ
ターの使用中に、発熱素子5が背面板8に直接に接触す
ることはなく、これによる加熱面の温度の均一性の悪化
を防止できる。なお、図1においては、図2−図4に示
す各構成部材の細部は図示を省略している。
【0041】図2(a)、(b)に示すように、背面板
8の中央部には、背面8b側に突起8cが設けられてお
り、突起8cの位置で、表面8aと背面8bとの間に貫
通孔8dが設けられている。背面板8の背面8bおよび
側面は、被膜6Bによって被覆されている。
【0042】図3に示すように、支持管12は、本体1
2aと、本体12aの一方の端部に設けられているフラ
ンジ部12bと、本体の反対側の端部に設けられている
フランジ部12cとを備えている。本体12aからフラ
ンジ部12bへと向かって、徐々に直径が大きくなるよ
うに拡径部12dが設けられている。
【0043】支持管12の表面は、被膜6Cによって被
覆されている。支持管12のフランジ部12bが、第二
の接合層11によって、背面板8の突起8cに対して接
合されている。第二の接合層も、フランジ部12bの全
面には接合されておらず、第二の接合層のない領域に間
隙10が生じている。支持管12の中空部と、背面板8
の貫通孔8dとは互いに連通しており、これらの中に、
図示しない発熱素子用の電力供給ケーブルや、必要に応
じて熱電対等の他の電気配線が挿入されている。
【0044】図5は、他の実施形態に係るサセプター1
Bを示す縦断面図であり、図6(a)は断熱材16Aお
よび16Bを示す平面図であり、図6(b)は断熱材2
0を示す平面図であり、図7は、溝23の周辺を拡大し
て示す要部断面図である。サセプター1Bは、面状伝熱
層3B、第一の熱遮蔽部7B、第二の熱遮蔽部25Bお
よび支持管12からなる。面状伝熱層3Bにおいては、
平板形状の基体4の加熱面4aと側面とが被膜6Aによ
って被覆されている。加熱面4a上には被加熱物2が設
置される。基体4中には、例えば図4(a)に示す平面
的パターンの溝23が形成されており、図7に示すよう
に、溝23は背面4b側に開口している(図5において
は溝を図示していない)。溝23中には、発熱素子5が
収容されており、発熱素子5の下側にはスペーサー16
Bが収容されており、スペーサー16Bが背面板8の表
面8aに接触している。
【0045】面状伝熱層3Bの背面4b側には、背面板
8が、断熱材16A、16Bを介して接合されている。
ここで、断熱材16A、16Bは、図6(a)に示すよ
うに、略長方形の枠状をなしており、断熱材16Bの周
囲を囲むように断熱材16Aが設けられている。断熱材
16Aと16Bとは、背面板8の表面8aおよび面状伝
熱層の背面4bに対して、それぞれ接合層9によって接
合されており、断熱材16Aと16Bのない領域に間隙
18が形成されている。この結果、第一の接合層9の面
積を1としたとき、背面4bの面積が3−300であ
る。背面板8の形態は前述した。
【0046】図5に示すように、支持管12は、本体1
2aと、本体12aの一方の端部に設けられているフラ
ンジ部12bと、本体の反対側の端部に設けられている
フランジ部12cとを備えている。前述したような拡径
部12dを設けることもできる。支持管12の表面は、
被膜6Cによって被覆されている。
【0047】支持管12のフランジ部12bと、背面板
8の突起8cとの間には、図6(b)に示すリング状の
断熱材20が介在しており、断熱材20は、第二の接合
層11によって、背面板8の突起8cと、支持管12の
フランジ部12bとに対して、接合されている。支持管
12の中空部と、断熱材20の貫通孔20aと、背面板
8の貫通孔8dとは、互いに連通しており、これらの中
に、図示しない発熱素子用の電力供給ケーブルや、必要
に応じて熱電対等の他の電気配線が挿入されている。
【0048】なお、図5−図7のサセプターにおいて
は、熱遮蔽部7B、25Bによって、加熱面が支持管1
2と電気的に絶縁されているので、加熱面側に対して金
属ケーブルや金属ロッドを接続することによって、静電
チャックとして動作させることができる。
【0049】また、図1−4のサセプターにおいても、
支持管の取り付け部分に碍子を挿入することによって、
電気的絶縁処理を施せば、静電チャックとして動作させ
ることができる。また、同様にして、高周波発生用電極
としての機能を付与することもできる。
【0050】
【実施例】以下、特に好適な実施例について述べる。
(実施例1)図1−図4に示すサセプター1Aを製造し
た。具体的には、平均粒径16μmの窒化アルミニウム
粒子を、イソプロパノール溶媒中に分散させ、液状アク
リル共重合物バインダを添加して、大型ポットミルで4
時間攪拌混合させ、スラリーを得た。このスラリーを、
防爆型スプレードライ機によって造粒させて、粒径約1
50μmの球状造粒粉を得た。この造粒粉を所定の金型
に充填し、油圧プレスを用いて200kgf/cm2
圧力で一軸加圧成形し、直径380、厚さ30mmの大
型プリフォームを製造した。
【0051】このプリフォームを十分乾燥、脱脂させた
後、アルミニウム合金(アルミニウム92.6mol
%、マグネシウム5.5mol%、シリコン1.9mo
l%)の融液に、窒素−1%水素の雰囲気中、1.5気
圧の圧力下、900℃で24時間接触させ、非加圧金属
浸透法によって、アルミニウムを含浸させ、プリフォー
ムを融液から引き上げ、アルミニウム基複合材料を得
た。窒化アルミニウムの重量比は70%であり、複合材
料の熱膨張率は8.7×10-6/℃であり、熱伝導率は
170W/mKであり、比抵抗は5×10-5Ω・cmで
ある。
【0052】この複合材料から、面状伝熱層の基体4、
背面板8、支持管12を作製した。基体4の外形寸法
は、400mm×500mm×25mmとし、溝15の
幅は13mmとし、最大深さは15mmとした。背面板
8の寸法は400mm×500mm×7.8mmとし
た。突起8cの背面8bからの高さは4.2mmとし、
突起8cの部分の厚さは12mmとし、突起8cの直径
は50mmとした。支持管の本体12aの肉厚は2.5
mm−3.5mmとし、外形は35mmとした。フラン
ジ部12bの外径は50mmとし、厚さは4mmとし
た。フランジ部12cの外径は50mmとし、厚さ8m
mとした。
【0053】以上の各構成部品を、大気中で1050℃
に5時間保持し、各表面にアルミナ膜を生成させた。次
いで、基体4の背面4b、背面板8の表面8aおよび突
起8cの表面、支持管のフランジ部の表面を研削加工ま
たは研磨加工することによって、複合材の新鮮な表面を
露出させた。
【0054】ステンレス鋼製のパイプで覆われたシース
ヒーターの中に酸化マグネシウム粒子を充填し、この中
にニッケル−クロム合金線を挿入し、発熱素子として使
用した。発熱素子を溝中に埋め込み、12個のアルミニ
ウム合金製ブロックをスペーサーとして発熱素子の下に
配置した。ニッケル製パワーフィードに中空シャフトを
通し、接合すべき部分に厚さ0.1mmのAl−10S
i−2Mg製シートを挿入した。各接合部分には、予め
ニッケル製メッキを施した。これらの組み立て体の上に
6kgのおもりを載せ、真空中でろう付けを行い、各構
成部材を接合した。
【0055】(実施例2)図5−図7に示すサセプター
を作製した。具体的には、実施例1と同様にして、基体
4、背面板8、支持管12を準備した。枠状の断熱材1
6A、16Bの材質は、純度95%以上のアルミナ質と
した。各断熱材の厚さはいずれも5mmであり、幅は1
0mmである。外側の断熱材16Aの外形寸法は400
mm×500mmであり、内側断熱材16Bの寸法は2
00mm×200mmである。また、リング状の断熱材
20の材質を純度98%以上のアルミナとし、外径を5
0mmとし、内径を40mmとし、厚さを5mmとし
た。いずれの断熱材についても、スパッタリング法によ
ってニッケル膜を形成した。
【0056】実施例1と同様の発熱素子を準備し、溝中
に埋設した。12個のアルミナ製ブロックをスペーサー
として取り付け、パワーフィードに中空シャフトを通し
た。第一の接合層9、第二の接合層11の各材質として
は、厚さ0.1mmのAl−10Si−2Mg合金ろう
材を使用した。この組み立て体の上に6kgの重りを載
せ、真空中でろう付けを行い、各構成部品を接合した。
次いで、溶射法によって、面状伝熱層の加熱面に厚さ約
100μmのアルミナ層を形成した。
【0057】
【発明の効果】以上から明らかなように、面状伝熱層と
これを支持する支持管等を備えているサセプターにおい
て、面状伝熱層の加熱面における温度分布を小さくでき
る。
【図面の簡単な説明】
【図1】サセプター1Aを概略的に示す縦断面図であ
る。
【図2】(a)は、背面板8を示す平面図であり、
(b)は背面板8の縦断面図である。
【図3】支持管12を示す縦断面図である。
【図4】(a)は、面状伝熱層の基体4をその背面側か
ら見た平面図であり、斜線は、接合層が存在する領域を
示す。(b)は、溝15の周辺を拡大して示す要部断面
図である。
【図5】他の実施形態に係るサセプター1Bを概略的に
示す縦断面図である。
【図6】(a)は、枠状の断熱材16A、16Bを示す
平面図であり、(b)は、リング状の断熱材20を示す
平面図である。
【図7】溝23の周辺を拡大して示す要部断面図であ
る。
【符号の説明】
1A、1B サセプター 2 被加熱物 3A、3B
面状伝熱層 4 基体 4a 面状伝熱層(基体)の加
熱面 4b 面状伝熱層(基体)の背面 5 発熱素子
6A、6B、6C 被膜 7A、7B 第一の熱遮蔽
部 8 背面板 8a 背面板8の表面 8b 背面板8の
背面 8c 背面板8の突起(台座部分) 9 第一の
接合層 11 第二の接合層 12 支持管 25A、25B 第二の熱遮蔽部

Claims (9)

    【特許請求の範囲】
  1. 【請求項1】被加熱物を加熱する加熱面と背面とを備え
    る面状伝熱層、前記面状伝熱層を前記背面側から支持す
    る支持部材、前記面状伝熱層の前記背面と前記支持部材
    との間に設けられており、前記面状伝熱層の背面から前
    記支持部材へと向かう熱流を制限する第一の熱遮蔽部、
    および前記第一の熱遮蔽部と前記支持部材との間に設け
    られており、前記第一の熱遮蔽部から前記支持部材への
    熱流を制限する第二の熱遮蔽部を備えていることを特徴
    とする、サセプター。
  2. 【請求項2】前記面状伝熱層が、窒化アルミニウムとア
    ルミニウムとの複合材料からなることを特徴とする、請
    求項1記載のサセプター。
  3. 【請求項3】前記面状伝熱層が、基体と、この基体中に
    設けられている発熱素子とを備えていることを特徴とす
    る、請求項1または2記載のサセプター。
  4. 【請求項4】前記基体に、前記背面側に開口する溝が設
    けられており、この溝の中に前記発熱素子が埋め込まれ
    ていることを特徴とする、請求項1−3のいずれか一つ
    の請求項に記載のサセプター。
  5. 【請求項5】前記第一の熱遮蔽部が背面板を備えてお
    り、この背面板の熱伝導率が、前記面状伝熱層の熱伝導
    率の1/2以下であることを特徴とする、請求項1−4
    のいずれか一つの請求項に記載のサセプター。
  6. 【請求項6】前記第一の熱遮蔽部が、背面板と、この背
    面板を前記面状伝熱層に接合する第一の接合層とを備え
    ており、前記第一の接合層の面積を1としたとき前記面
    状伝熱層の前記背面の面積が3−300であることを特
    徴とする、請求項1−5のいずれか一つの請求項に記載
    のサセプター。
  7. 【請求項7】前記背面板と前記支持部材とが直接にまた
    は断熱部材を介して第二の接合層によって接合されてお
    り、前記第二の接合層の面積を1としたときの前記背面
    板の前記支持部材側の面積が20−10000であるこ
    とを特徴とする、請求項1−6のいずれか一つの請求項
    に記載のサセプター。
  8. 【請求項8】前記面状伝熱層が導電性材料からなり、少
    なくとも前記面状伝熱層の前記加熱面が誘電層によって
    被覆されており、前記面状伝熱層と前記被加熱物との間
    に直流電圧を印加することによって前記面状伝熱層に対
    して前記被加熱物を吸着できるように構成されているこ
    とを特徴とする、請求項1−7のいずれか一つの請求項
    に記載のサセプター。
  9. 【請求項9】前記面状伝熱層、前記第一の熱遮蔽部、前
    記第二の熱遮蔽部および前記支持部材が導電性を有して
    おり、前記支持部材がアースされていることを特徴とす
    る、請求項1−8のいずれか一つの請求項に記載のサセ
    プター。
JP10149573A 1998-05-29 1998-05-29 サセプター Withdrawn JPH11343571A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP10149573A JPH11343571A (ja) 1998-05-29 1998-05-29 サセプター
TW088104116A TW456157B (en) 1998-05-29 1999-03-17 Carrier
US09/314,367 US6160244A (en) 1998-05-29 1999-05-19 Susceptors
KR1019990017967A KR100295145B1 (ko) 1998-05-29 1999-05-19 서셉터
EP99304203A EP0962961A3 (en) 1998-05-29 1999-05-28 Susceptors

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10149573A JPH11343571A (ja) 1998-05-29 1998-05-29 サセプター

Publications (1)

Publication Number Publication Date
JPH11343571A true JPH11343571A (ja) 1999-12-14

Family

ID=15478154

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10149573A Withdrawn JPH11343571A (ja) 1998-05-29 1998-05-29 サセプター

Country Status (5)

Country Link
US (1) US6160244A (ja)
EP (1) EP0962961A3 (ja)
JP (1) JPH11343571A (ja)
KR (1) KR100295145B1 (ja)
TW (1) TW456157B (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001118835A (ja) * 1999-08-03 2001-04-27 Applied Materials Inc 半導体基板の温度制御のための方法及びその装置
JP2002299432A (ja) * 2001-03-30 2002-10-11 Ngk Insulators Ltd セラミックサセプターの支持構造
JP2007250860A (ja) * 2006-03-16 2007-09-27 Tokyo Electron Ltd プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置
JP2009062593A (ja) * 2007-09-07 2009-03-26 Nihon Ceratec Co Ltd 温調プレートおよびその製造方法
JP2009123656A (ja) * 2007-11-19 2009-06-04 Covalent Materials Corp 面状ヒータ
JP2013012413A (ja) * 2011-06-29 2013-01-17 Taiheiyo Cement Corp セラミックスヒータ
KR20150094712A (ko) * 2012-12-14 2015-08-19 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버 컴포넌트들을 위한 열 복사 배리어
JP2018073657A (ja) * 2016-10-31 2018-05-10 信越化学工業株式会社 加熱素子
JP2022510433A (ja) * 2018-12-06 2022-01-26 アプライド マテリアルズ インコーポレイテッド 処理チャンバの耐食性接地シールド
WO2022251867A1 (en) * 2021-05-28 2022-12-01 Lam Research Corporation Apparatuses for thermal management of a pedestal and chamber

Families Citing this family (373)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214121B1 (en) 1999-07-07 2001-04-10 Applied Materials, Inc. Pedestal with a thermally controlled platen
SE515785C2 (sv) * 2000-02-23 2001-10-08 Obducat Ab Anordning för homogen värmning av ett objekt och användning av anordningen
US6554907B2 (en) 2001-01-02 2003-04-29 Applied Materials, Inc. Susceptor with internal support
US6623563B2 (en) * 2001-01-02 2003-09-23 Applied Materials, Inc. Susceptor with bi-metal effect
US6510888B1 (en) 2001-08-01 2003-01-28 Applied Materials, Inc. Substrate support and method of fabricating the same
JP3897563B2 (ja) * 2001-10-24 2007-03-28 日本碍子株式会社 加熱装置
JP3534738B2 (ja) * 2002-03-28 2004-06-07 日本碍子株式会社 セラミックサセプターの取付構造、セラミックサセプターの支持構造およびセラミックサセプター用支持部材
JP3520074B2 (ja) * 2002-03-28 2004-04-19 日本碍子株式会社 セラミックサセプターの取付構造、セラミックサセプターの支持構造およびセラミックサセプターの支持部材
JP4311910B2 (ja) * 2002-04-15 2009-08-12 住友電気工業株式会社 半導体製造装置用保持体
US7608419B2 (en) * 2003-11-13 2009-10-27 California Institute Of Technology Method and apparatus for detecting and quantifying bacterial spores on a surface
JP2004253665A (ja) * 2003-02-21 2004-09-09 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびそれを搭載した半導体製造装置
JP3918806B2 (ja) * 2003-11-20 2007-05-23 住友電気工業株式会社 被加熱物載置用ヒータ部材及び加熱処理装置
JP2005216759A (ja) * 2004-01-30 2005-08-11 Nhk Spring Co Ltd ヒータユニット
KR100750968B1 (ko) 2005-06-07 2007-08-22 주식회사 알지비하이텍 플라즈마화학적기상증착 기구 내의 서셉터 구조
TWI329625B (en) * 2005-07-04 2010-09-01 Kyocera Corp Bonded body, wafer support member using the same, and wafer treatment method
KR100730379B1 (ko) * 2005-07-26 2007-06-19 (주)대하이노텍 화학 기상 증착장치의 히터모듈
JP4435742B2 (ja) * 2005-08-09 2010-03-24 信越化学工業株式会社 加熱素子
KR101299495B1 (ko) * 2005-12-08 2013-08-29 신에쓰 가가꾸 고교 가부시끼가이샤 세라믹스 히터, 히터 급전 부품 및 세라믹스 히터의제조방법
JP5236927B2 (ja) * 2007-10-26 2013-07-17 信越化学工業株式会社 耐腐食性積層セラミックス部材
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI469678B (en) * 2009-01-05 2015-01-11 Flexible heating device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5416570B2 (ja) * 2009-12-15 2014-02-12 住友電気工業株式会社 加熱冷却デバイスおよびそれを搭載した装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9948214B2 (en) * 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
DE102012012968A1 (de) * 2012-06-29 2014-01-02 Bayerische Motoren Werke Aktiengesellschaft Verfahren zur Herstellung einer Heizanordnung und Werkzeug umfassend die Heizanordnung
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
USD743357S1 (en) * 2013-03-01 2015-11-17 Asm Ip Holding B.V. Susceptor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102420852B1 (ko) * 2015-11-04 2022-07-15 (주)포인트엔지니어링 서셉터 및 이를 포함하는 진공챔버
KR102408404B1 (ko) * 2015-11-04 2022-06-14 (주)포인트엔지니어링 서셉터 및 이를 구비한 진공챔버
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
JP6902382B2 (ja) * 2017-04-12 2021-07-14 日本発條株式会社 ヒータユニット
JP2018181586A (ja) 2017-04-12 2018-11-15 日本発條株式会社 シースヒータ
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) * 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3110795A (en) * 1959-09-17 1963-11-12 Gen Motors Corp Domestic electric appliance
US3275801A (en) * 1964-06-17 1966-09-27 Walter A Churchill Electrical heat exchanger
DE69130205T2 (de) * 1990-12-25 1999-03-25 Ngk Insulators Ltd Heizungsapparat für eine Halbleiterscheibe und Verfahren zum Herstellen desselben
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
JPH07297268A (ja) * 1993-12-27 1995-11-10 Shin Etsu Chem Co Ltd 静電チャック付セラミックスヒーター
JPH09213781A (ja) * 1996-02-01 1997-08-15 Tokyo Electron Ltd 載置台構造及びそれを用いた処理装置
US5730803A (en) * 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001118835A (ja) * 1999-08-03 2001-04-27 Applied Materials Inc 半導体基板の温度制御のための方法及びその装置
JP2002299432A (ja) * 2001-03-30 2002-10-11 Ngk Insulators Ltd セラミックサセプターの支持構造
JP2007250860A (ja) * 2006-03-16 2007-09-27 Tokyo Electron Ltd プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置
JP4615464B2 (ja) * 2006-03-16 2011-01-19 東京エレクトロン株式会社 プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置
JP2009062593A (ja) * 2007-09-07 2009-03-26 Nihon Ceratec Co Ltd 温調プレートおよびその製造方法
KR101470017B1 (ko) * 2007-11-19 2014-12-05 도쿄엘렉트론가부시키가이샤 면상 히터
JP2009123656A (ja) * 2007-11-19 2009-06-04 Covalent Materials Corp 面状ヒータ
JP2013012413A (ja) * 2011-06-29 2013-01-17 Taiheiyo Cement Corp セラミックスヒータ
KR20150094712A (ko) * 2012-12-14 2015-08-19 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버 컴포넌트들을 위한 열 복사 배리어
JP2016508288A (ja) * 2012-12-14 2016-03-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理チャンバ構成要素用の熱放射バリア
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
JP2018073657A (ja) * 2016-10-31 2018-05-10 信越化学工業株式会社 加熱素子
JP2022510433A (ja) * 2018-12-06 2022-01-26 アプライド マテリアルズ インコーポレイテッド 処理チャンバの耐食性接地シールド
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
WO2022251867A1 (en) * 2021-05-28 2022-12-01 Lam Research Corporation Apparatuses for thermal management of a pedestal and chamber

Also Published As

Publication number Publication date
EP0962961A3 (en) 2003-08-13
KR19990088384A (ko) 1999-12-27
EP0962961A2 (en) 1999-12-08
KR100295145B1 (ko) 2001-07-12
US6160244A (en) 2000-12-12
TW456157B (en) 2001-09-21

Similar Documents

Publication Publication Date Title
JPH11343571A (ja) サセプター
TWI308366B (ja)
US5683606A (en) Ceramic heaters and heating devices using such ceramic heaters
CN103180267B (zh) 加热装置
EP0929204B1 (en) Ceramic Heater
EP1089593A2 (en) Ceramic heater
IL168429A (en) A semiconductor substrate with a diamond / copper composite material and a method for its production
WO2002084701A2 (en) Plasma reactor electrode
JP3840990B2 (ja) 半導体/液晶製造装置
US20080212255A1 (en) Electrostatic chuck and method for manufacturing same
US6590760B1 (en) Joint structure of ceramics and metal and intermediate insertion member used in this joint structure
JP2004040000A (ja) 電極内蔵型サセプタ及びその製造方法
KR100368627B1 (ko) 반도체 지지 장치 및 그 제조 방법과, 접합체 및 그 제조 방법
JPH09243201A (ja) 熱電変換装置およびその製造方法
JP4811790B2 (ja) 静電チャック
JPH0870036A (ja) 静電チャック
JP2533679B2 (ja) 盤状セラミックスヒ―タ―及びその製造方法
JP3152898B2 (ja) 窒化アルミニウム質セラミックヒータ
JP3964530B2 (ja) セラミックスヒータ
JP2001313157A (ja) 加熱装置
JP3861714B2 (ja) セラミックスヒータ及び該ヒータを用いた半導体/液晶製造装置
JPH04129189A (ja) セラミックヒータ
JP2000277239A (ja) セラミックスヒータ
JPH08250465A (ja) 半導体プラズマ処理装置の電極カバー
JP2003151727A (ja) 面状セラミックスヒーター

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050802